Table of contents for issues of Digital Technical Journal of Digital Equipment Corporation

Last update: Wed Sep 26 06:38:28 MDT 2018                Valid HTML 3.2!

Volume 1, Number 1, August, 1985
Volume 1, Number 2, March, 1986
Volume 1, Number 3, September, 1986
Volume 1, Number 4, February, 1987
Volume 1, Number 5, September, 1987
Volume 1, Number 6, February, 1988
Volume 1, Number 7, August, 1988
Volume 1, Number 8, February, 1989
Volume 1, Number 9, June, 1989
Volume 2, Number 1, Winter, 1990
Volume 2, Number 2, Spring, 1990
Volume 2, Number 3, Summer, 1990
Volume 2, Number 4, Fall, 1990
Volume 3, Number 1, Winter, 1991
Volume 3, Number 2, Spring, 1991
Volume 3, Number 3, Summer, 1991
Volume 3, Number 4, Fall, 1991
Volume 4, Number 1, Winter, 1992
Volume 4, Number 2, Spring, 1992
Volume 4, Number 3, Summer, 1992
Volume 4, Number 4, Fall, 1992
Volume 5, Number 1, Winter, 1993
Volume 5, Number 2, Spring, 1993
Volume 5, Number 3, Summer, 1993
Volume 5, Number 4, Fall, 1993
Volume 6, Number 1, Winter, 1994
Volume 6, Number 2, Spring, 1994
Volume 6, Number 3, Summer, 1994
Volume 6, Number 4, Fall, 1994
Volume 7, Number 1, Winter, 1995
Volume 7, Number 2, Spring, 1995
Volume 7, Number 3, Fall, 1995
Volume 7, Number 4, March, 1996
Volume 8, Number 1, May, 1996
Volume 8, Number 2, October, 1996
Volume 8, Number 3, 1996
Volume 8, Number 4, 1996
Volume 9, Number 1, 1997
Volume 9, Number 2, 1997
Volume 9, Number 3, 1998
Volume 9, Number 4, 1998
Volume 10, Number 1, 1998


Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 1, August, 1985

             Tryggve Fossum and   
           James B. McElroy and   
                William English   An Overview of the VAX 8600 System . . . 8--23
              Mario Troiani and   
           S. Stephen Ching and   
             Nii N. Quaynor and   
              John E. Bloem and   
       Fernando C. Colon Osorio   The VAX 8600 I Box, A Pipelined
                                  Implementation of the VAX Architecture   24--42
             Tryggve Fossum and   
       William R. Grundmann and   
              Virginia C. Blaha   The F Box, Floating Point in the VAX
                                  8600 System  . . . . . . . . . . . . . . 43--53
               James B. McElroy   Packaging the VAX 8600 Processor . . . . 54--60
             John H. Hackenberg   Signal Integrity in the VAX 8600 System  61--65
            E. Brian Kalita and   
                William English   Cooling the VAX 8600 Processor . . . . . 66--70
        William B. Bruckert and   
            Ronald E. Josephson   Designing Reliability into the VAX 8600
                                  System . . . . . . . . . . . . . . . . . 71--77

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 2, March, 1986

       Daniel W. Dobberpuhl and   
           Robert M. Supnik and   
               Richard T. Witek   The MicroVAX 78032 Chip, A 32-Bit
                                  Microprocessor . . . . . . . . . . . . . 12--23
       William R. Bidermann and   
               Amnon Fisher and   
            Burton M. Leary and   
           Robert J. Simcoe and   
             William R. Wheeler   The MicroVAX 78132 Floating Point Chip   24--36
                Barry A. Maskas   Developing the MicroVAX II CPU Board . . 37--47
           Anthony F. Hutchings   The Evolution of the Custom CAD Suite
                                  Used on the MicroVAX II System . . . . . 48--55
                 Rick Spitz and   
               Peter George and   
               Stephen Zalewski   The Making of a MicroVAX Workstation . . 56--65
        Nicholas A. Warchol and   
             Stephen F. Shirron   The RQDX3 Design Project . . . . . . . . 66--75
          Kathleen D. Morse and   
              Lawrence J. Kenah   The Evolution of Instruction Emulation
                                  for the MicroVAX Systems . . . . . . . . 76--85
            Steven E. Boone and   
           Guenter E. Schneider   The TK50 Cartridge Tape Drive  . . . . . 86--98
               Raymond J. Lanza   Porting ULTRIX Software to the MicroVAX
                                  System . . . . . . . . . . . . . . . . . 99--105

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 3, September, 1986

           Anthony G. Lauck and   
              David R. Oran and   
               Radia J. Perlman   Digital Network Architecture Overview    10--24
                    R. Jain and   
                     W. R. Hawe   Performance Analysis and Modeling of
                                  Digital's Networking Architecture  . . . 25--34
            John P. Morency and   
               David Porter and   
          Richard M. Pitkin and   
                  David R. Oran   The DECnet/SNA Gateway Product --- A
                                  Case Study in Cross Vendor Networking    35--53
            William R. Hawe and   
              Mark F. Kempf and   
                  Alan J. Kirby   The Extended Local Area Network
                                  Architecture and LANBridge 100 . . . . . 54--72
              Bruce E. Mann and   
               Colin Strutt and   
                  Mark F. Kempf   Terminal Servers on Ethernet Local Area
                                  Networks . . . . . . . . . . . . . . . . 73--87
                    P. Beck and   
                      J. Krycka   The DECnet-VAX Product --- An Integrated
                                  Approach to Networking . . . . . . . . . 88--99
              John Forecast and   
           James L. Jackson and   
         Jeffrey A. Schriesheim   The DECnet-ULTRIX Software . . . . . . . 100--107
           Peter O. Mierswa and   
            David J. Mitton and   
               Martha L. Spence   The DECnet-DOS System  . . . . . . . . . 108--116
          Nancy R. La Pelle and   
              Mark J. Seger and   
                  Mark W. Sylor   The Evolution of Network Management
                                  Products . . . . . . . . . . . . . . . . 117--128
                  Mark W. Sylor   The NMCC/DECnet Monitor Design . . . . . 129--141

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 4, February, 1987

                      S. Mishra   The VAX 8800 Microarchitecture . . . . . 20--33

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 5, September, 1987

        Nancy P. Kronenberg and   
              Henry M. Levy and   
        William D. Strecker and   
            Richard J. Merewood   The VAXcluster Concept: An Overview of a
                                  Distributed System . . . . . . . . . . . 7--21
               Darrell J. Duffy   The System Communication Architecture    22--28
     William E. Snaman, Jr. and   
                 David W. Thiel   The VAX/VMS Distributed Lock Manager . . 29--44
            Andrew C. Goldstein   The Design and Implementation of a
                                  Distributed File System  . . . . . . . . 45--55
             Michael S. Fox and   
                John A. Ywoskus   Local Area VAXcluster Systems  . . . . . 56--68
        Edward E. Balkovich and   
         Prashant Bhabhalia and   
      William R. Dunnington and   
               Thomas F. Weyant   VAXcluster Availability Modeling . . . . 69--79
                 Daeil Park and   
         Rekha D. Von Ehren and   
             Tzyh-Long Wang and   
                 Nii N. Quaynor   System Level Performance of VAX 8974 and
                                  8978 Systems . . . . . . . . . . . . . . 80--92
                 Xi-ren Cao and   
             Nii N. Quaynor and   
       Fernando C. Colon Osorio   CI Bus Arbitration Performance in a
                                  VAXcluster System  . . . . . . . . . . . 93--103

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 6, February, 1988

                     B. Beander   VAX/VMS software development environment 10--19
            A. Smith Duncan and   
                   T. J. Harris   Software productivity measurements . . . 20--27
                      G. Lupton   Language-sensitive editor  . . . . . . . 28--39
                S. R. Greenwood   VAX SCAN: rule-based text processing
                                  software . . . . . . . . . . . . . . . . 40--50
                    R. A. Conti   Software productvity features provided
                                  by the Ada language and the VAX Ada
                                  compiler . . . . . . . . . . . . . . . . 51--61
               B. A. Axtell and   
             W. H. Clifford and   
                    J. S. Saltz   Programmer productivity aspects of the
                                  VAX GKS and VAX PHIGS products . . . . . 62--70
                      L. Lasher   The VAX RALLY system --- a relational
                                  fourth-generation language . . . . . . . 71--79
               L. E. Benson and   
             M. Gianatassio and   
                   K. L. McKeen   VTX and VALU-software productivity tools
                                  for distributed applications development 80--90
              R. F. Brender and   
                B. R. Brett and   
                 C. Z. Mitchell   Pragmatics in the Development of VAX Ada 91--100
                    S. J. Grass   Development of a graphical program
                                  generator  . . . . . . . . . . . . . . . 101--109
                   L. Ziman and   
                      M. Dickau   Project management of the VAX DEC/Test
                                  Manager software version 2.0 . . . . . . 110--116
                  P. D. Gilbert   Development of the VAX NOTES system  . . 117--124
                     M. D. Good   Software usability engineering . . . . . 125--133

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 7, August, 1988

                  Brian Allison   An Overview of the VAX 6200 Family of
                                  Systems  . . . . . . . . . . . . . . . . 10--18
               Brian R. Allison   The Architectural Definition Process of
                                  the VAX 6200 Family  . . . . . . . . . . 19--27
        Richard B. Gillett, Jr.   Interfacing a VAX Microprocessor to a
                                  High-speed Multiprocessing Bus . . . . . 28--46
            Jean H. Basmaji and   
            Glenn P. Garvey and   
             Masood Heydari and   
               Arthur L. Singer   The Role of Computer-aided Engineering
                                  in the Design of the VAX 6200 System . . 47--56
          Rodney N. Gamache and   
              Kathleen D. Morse   VMS Symmetric Multiprocessing  . . . . . 57--63
              Bhagyam Moses and   
             Karen T. DeGregory   Performance Evaluation of the VAX 6200
                                  Systems  . . . . . . . . . . . . . . . . 64--78
              Gary P. Lidington   Overview of the MicroVAX 3500/3600
                                  Processor Module . . . . . . . . . . . . 79--86
              Charles J. DeVane   Design of the MicroVAX 3500/3600
                                  Second-level Cache . . . . . . . . . . . 87--94
              Thomas F. Fox and   
          Paul E. Gronowski and   
               Anil K. Jain and   
            Burton M. Leary and   
                Daniel G. Miner   The CVAX 78034 Chip, a 32-bit
                                  Second-generation VAX Microprocessor . . 95--108
         Edward J. McLellan and   
         Gilbert M. Wolrich and   
            Robert AJ Yodlowski   Development of the CVAX Floating Point
                                  Chip . . . . . . . . . . . . . . . . . . 109--120
                   Jeff Winston   The System Support Chip, a Multifunction
                                  Chip for CVAX Systems  . . . . . . . . . 121--128
                Barry A. Maskas   Development of the CVAX Q22-bus
                                  Interface Chip . . . . . . . . . . . . . 129--138
                David K. Morgan   The CVAX CMCTL -- A CMOS Memory
                                  Controller Chip  . . . . . . . . . . . . 139--143

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 8, February, 1989

            Richard E. Lary and   
                 Robert G. Bean   The Hierarchical Storage Controller, A
                                  Tightly Coupled Multiprocessor as
                                  Storage Server . . . . . . . . . . . . . 8--24
               Kenneth H. Bates   Performance Aspects of the HSC
                                  Controller . . . . . . . . . . . . . . . 25--37
            Larry W. Emlich and   
               Herman D. Polich   VAXsimPLUS, A Fault Manager
                                  Implementation . . . . . . . . . . . . . 38--45
               Barbara A. Crane   Disk Drive Technology Improvements in
                                  the RA90 . . . . . . . . . . . . . . . . 46--60
              Michael D. Sidman   Control Systems Technology in Digital's
                                  Disk Drives  . . . . . . . . . . . . . . 61--73
                  Alan B. Smith   Magnetic Domain Observations in
                                  Thin-Film Heads Using Kerr Microscopy    74--80
        Reinhard Kretschmer and   
              Siegbert Sadowski   Margin Analysis on Magnetic Disk
                                  Recording Channels . . . . . . . . . . . 81--87
              T. Rengarajan and   
                   P. Spiro and   
                      W. Wright   High Availability Mechanisms of VAX DBMS
                                  Software . . . . . . . . . . . . . . . . 88--98
             Ashok M. Joshi and   
               Karen E. Rodwell   A Relational Database Management System
                                  for Production Applications  . . . . . . 99--109

Digital Technical Journal of Digital Equipment Corporation
Volume 1, Number 9, June, 1989

            Sally J. Martin and   
            Janet M. McCann and   
                  David R. Oran   Development of the VAX distributed name
                                  service  . . . . . . . . . . . . . . . . 9--15
         William G. Nichols and   
                   Joel S. Emer   Design and implementation of the VAX
                                  distributed file service . . . . . . . . 16--28
           David M. Griffin and   
                Brad C. Johnson   Remote system management in network
                                  environments . . . . . . . . . . . . . . 29--36
               Peter O. Mierswa   The evolution of the MAILbus . . . . . . 37--43
                  Alan Abrahams   VAX/VMS services for MS-DOS  . . . . . . 44--50
         Peter G. Viscarola and   
             Jeffrey E. Watkins   The WAVE tools base for protocol testing 51--60
         Eugene Finkelstein and   
              Richard A. Grawin   Performance evaluation of distributed
                                  applications and services in the DECnet
                                  environment  . . . . . . . . . . . . . . 61--77
           Vijay G. D'Silva and   
                Ruei-Hsin Hsiao   Measurement and analysis techniques for
                                  DECnet products  . . . . . . . . . . . . 78--86
            John P. Morency and   
          Richard P. Pitkin and   
           Ramasamy Jesuraj and   
               Ambrose C. Kwong   Modeling and analysis of the DECnet/SNA
                                  gateway  . . . . . . . . . . . . . . . . 87--99


Digital Technical Journal of Digital Equipment Corporation
Volume 2, Number 1, Winter, 1990

          Robert L. Travis, Jr.   CDA overview (compound document
                                  architecture)  . . . . . . . . . . . . . 8--15
         William R. Laurune and   
          Robert L. Travis, Jr.   The Digital document interchange format  16--27
             Carol A. Young and   
               Neal F. Jacobson   The Digital table interchange format . . 28--37
          Richard T. Gumbel and   
                 Martin L. Jack   Development of the CDA toolkit . . . . . 38--48
          Baldwin K. Cheung and   
               Neal F. Jacobson   Interapplication access and integration  49--49
                  Alan Sung and   
           Neal F. Jacobson and   
                 Carol A. Young   The design and development of the
                                  DECdecision product  . . . . . . . . . . 60--72
              Seth S. Cohen and   
              Wm. Eugene Morgan   The relationship between the DECwrite
                                  editor and the Digital document
                                  interchange format . . . . . . . . . . . 73--82
              Neal B. Appel and   
                Ronald M. Olson   CDA in science and engineering . . . . . 83--89

Digital Technical Journal of Digital Equipment Corporation
Volume 2, Number 2, Spring, 1990

            Debra L. Slater and   
           David M. Fenwick and   
         D. John Shakshober and   
            Douglas D. Williams   Vector processing on the VAXvector 6000
                                  Model 400  . . . . . . . . . . . . . . . 11--26
           Patrick Sullivan and   
  Michael A. Callander, Sr. and   
          James R. Lundberg and   
           Rebecca L. Stamm and   
             William J. Bowhill   The VAX 6000 Model 400 scalar processor
                                  module . . . . . . . . . . . . . . . . . 27--35
             W. Hugh Durdan and   
         William J. Bowhill and   
              John F. Brown and   
         William V. Herrick and   
        Richard C. Marcello and   
          Sridhar Samudrala and   
           G. Michael Uhler and   
                  Nicholas Wade   An overview of the VAX 6000 Model 400
                                  chip set . . . . . . . . . . . . . . . . 36--51
          John T. Bartoszek and   
        Robert J. Hannemann and   
          Stephen P. Hansen and   
          Robert J. McCarty and   
                John C. Sweeney   VAX 6000 Model 400 physical technology   52--63
        Richard E. Calcagni and   
                  Will Sherwood   VAX 6000 Model 400 CPU chip set
                                  functional design verification . . . . . 64--72
              John W. Croll and   
           Larry T. Camilli and   
             Anthony J. Vaccaro   Test and qualification of the VAX 6000
                                  Model 400 system . . . . . . . . . . . . 73--83
          Thomas C. Furlong and   
      Michael J. K. Nielsen and   
                Neil C. Wilhelm   Development of the DECstation 3100 . . . 84--88
                 Larry B. Weber   Compiler optimization in RISC systems    89--95

Digital Technical Journal of Digital Equipment Corporation
Volume 2, Number 3, Summer, 1990

                 S. A. McGregor   An overview of the DECwindows
                                  architecture . . . . . . . . . . . . . . 9--15
             S. Angebranndt and   
                   T. D. Newman   The sample X11 server architecture . . . 16--23
            L. P. Treggiari and   
                  M. D. Collins   Development of the XUI toolkit . . . . . 24--33
                S. R. Greenwood   The DECwindows user interface language   34--43
                T. M. Spine and   
                   J. L. VanNoy   The evolution of the X user interface
                                  style  . . . . . . . . . . . . . . . . . 44--51
                 R. J. Rost and   
            J. D. Friedberg and   
                P. L. Nishimoto   PEX: a network-transparent
                                  three-dimensional graphics system  . . . 52--63
                     C. A. Kent   XDPS: a Display PostScript System
                                  extension for DECwindows . . . . . . . . 64--73
                 M. R. Ryan and   
                J. H. VanGilder   The development of DECwindows VMS mail   74--83
             D. Mirchandani and   
                      P. Biswas   Ethernet performance of remote
                                  DECwindows applications  . . . . . . . . 84--94

Digital Technical Journal of Digital Equipment Corporation
Volume 2, Number 4, Fall, 1990

            D. B. Fite, Jr. and   
                  T. Fossum and   
                      D. Manley   Design strategy for the VAX 9000 system  13--24
               J. E. Murray and   
         R. C. Hetherington and   
                   R. M. Salett   VAX instructions that illustrate the
                                  architectural features of the VAX 9000
                                  CPU  . . . . . . . . . . . . . . . . . . 25--42
             M. J. Adiletta and   
             R. L. Doucette and   
           J. H. Hackenberg and   
             D. H. Leuthold and   
                D. M. Litwinetz   Semiconductor technology in a
                                  high-performance VAX system  . . . . . . 43--60
              R. A. Brunner and   
           D. P. Bhandarkar and   
               F. X. McKeen and   
                   B. Patel and   
          W. J. Rogers, Jr. and   
                    G. L. Yoder   Vector processing on the VAX 9000 system 61--79
              P. B. Dunbeck and   
             R. J. Dischler and   
              J. B. McElroy and   
               F. J. Swiatowiec   HDSC and multichip unit design and
                                  manufacture  . . . . . . . . . . . . . . 80--89
              M. S. Goldman and   
            P. H. Dormitzer and   
                 P. A. Leveille   The VAX 9000 service processor unit  . . 90--101
                 D. J. Chin and   
                B. G. Brown and   
               C. F. Butala and   
                L. L. Chang and   
              S. J. Chenetz and   
               G. E. Cotter and   
                B. T. Lynch and   
               T. Natarajan and   
                  L. J. Salafia   The unique features of the VAX 9000
                                  power system design  . . . . . . . . . . 102--117
               D. F. Hooper and   
                      J. C. Eck   Synthesis in the CAD system used to
                                  design the VAX 9000 system . . . . . . . 118--129
              K. E. Barnard and   
                R. P. Harokopus   Hierarchical fault detection and
                                  isolation strategy for the VAX 9000
                                  system . . . . . . . . . . . . . . . . . 130--141


Digital Technical Journal of Digital Equipment Corporation
Volume 3, Number 1, Winter, 1991

                      Anonymous   Editors Introduction . . . . . . . . . . ??
        Philip A. Bernstein and   
        William T. Emberton and   
                    Vijay Treba   DECdta --- Digital's Distributed
                                  Transaction Processing Architecture  . . 10--17
            Thomas G. Speer and   
                  Mark W. Storm   Digital's Transaction Processing
                                  Monitors . . . . . . . . . . . . . . . . 18--32
           William A. Laing and   
           James E. Johnson and   
               Robert V. Landau   Transaction Management Support in the
                                  VMS Operating System Kernal  . . . . . . 33--44
           Walter H. Kohler and   
               Yun-Ping Hsu and   
           Thomas K. Rogers and   
           Wael H. Bahaa-El-Din   Performance Evaluation of Transaction
                                  Processing Systems . . . . . . . . . . . 45--58 (or 45--57??)
          William Z. Zahavi and   
           Frances A. Habib and   
              Kenneth J. Omahen   Tools and Techniques for Preliminary
                                  Sizing of Transaction Processing
                                  Applications . . . . . . . . . . . . . . 58--64
            Ananth Raghavan and   
               T. K. Rengarajan   Database Availability for Transaction
                                  Processing . . . . . . . . . . . . . . . 65--69
             Peter M. Spiro and   
             Ashok M. Joshi and   
               T. K. Rengarajan   Designing an optimized transaction
                                  commit protocol  . . . . . . . . . . . . 70--78 (or 70--79??)
        William F. Bruckert and   
              Carlos Alonso and   
                James M. Melvin   Verification of the first fault-tolerant
                                  VAX system . . . . . . . . . . . . . . . 79--85

Digital Technical Journal of Digital Equipment Corporation
Volume 3, Number 2, Spring, 1991

                      Anonymous   Editors Introduction . . . . . . . . . . ??
                  Mark F. Kempf   Foreword . . . . . . . . . . . . . . . . ??
            William R. Hawe and   
             Richard Graham and   
                Peter C. Hayden   Fiber Distributed Data Interface
                                  Overview . . . . . . . . . . . . . . . . 10--19 (or 1--10??)
         Jerry D. Hutchison and   
        Christopher Baldwin and   
              Bruce W. Thompson   Development of the FDDI Physical Layer   19--30
              Henry S. Yang and   
           Barry A. Spinney and   
                Stephen Towning   FDDI Data Link Development . . . . . . . 31--41
          Paul W. Ciarfella and   
               David Benson and   
                David S. Sawyer   An Overview of the Common Node Software  42--52
           Robert C. Kochem and   
           James S. Hiscock and   
                  Brian T. Mayo   Development of the DECbridge 500 Product 53--63 (or 53--65??)
         William J. Tiffany and   
             G. Paul Koning and   
               James E. Kuenzel   The DECconcentrator 500 Product  . . . . 64--75
                 Bruce E. Sweet   DECelms --- Managing Digital's FDDI and
                                  Ethernet Extended Local Area Networks    76--84
           Ursula Sinkewicz and   
            Chran-Ham Chang and   
         Lawrence G. Palmer and   
              Craig Smelser and   
                Fred L. Templin   ULTRIX Fiber Distributed Data Interface
                                  Networking Subsystem Implementation  . . 85--93 (or 85--94??)

Digital Technical Journal of Digital Equipment Corporation
Volume 3, Number 3, Summer, 1991

                      Anonymous   Editors Introduction . . . . . . . . . . ??
         Howard H. Hayakawa and   
                 George S. Hoff   Foreword . . . . . . . . . . . . . . . . ??
                       Raj Jain   Performance Analysis of a High-Speed
                                  FDDI Adapter (or ``Performance Analysis
                                  of FDDI''??) . . . . . . . . . . . . . . 1--11 (??)
                 Scott H. Davis   Design of VMS Volume Shadowing Phase II
                                  --- Host-based Shadowing . . . . . . . . 7--15
         William E. Snaman, Jr.   Application Design in a VAXcluster
                                  System . . . . . . . . . . . . . . . . . 16--26
                      Lee Leahy   New availability features of local area
                                  VAXcluster systems . . . . . . . . . . . 27--35
       Richard E. Stockdale and   
                  Judy B. Weiss   Design of the DEC LANcontroller 400
                                  Adapter  . . . . . . . . . . . . . . . . 36--47
                 Satish L. Rege   The Architecture and Implementation of a
                                  High-performance FDDI Adapter  . . . . . 48--63
            Ramsesh S. Kalkunte   Performance Analysis of a High-speed
                                  FDDI Adapter . . . . . . . . . . . . . . 64--77
                        R. Jain   Performance analysis of FDDI . . . . . . 78--88

Digital Technical Journal of Digital Equipment Corporation
Volume 3, Number 4, Fall, 1991

                      Anonymous   Editors Introduction . . . . . . . . . . ??
                Larry Cabrinety   Foreword . . . . . . . . . . . . . . . . ??
      Christopher J. Payson and   
   Christopher J. Cianciolo and   
           Robert N. Crouse and   
            Catherine F. Winsor   Hardware Accelerators for Bitonal Image
                                  Processing . . . . . . . . . . . . . . . 9--25 (or 9--26??)
         Björn Engberg and   
                 Thomas Porcher   X Window Terminals . . . . . . . . . . . 26--35
                Peter A. Sichel   ACCESS.bus, an Open Desktop Bus  . . . . 36--42
             Richard Landau and   
                  Alan Guenther   Design of the DECprint Common Printer
                                  Supervisor for VMS System  . . . . . . . 43--54
             James D. Jones and   
           Ajay P. Kachrani and   
               Thomas E. Powers   The Common Printer Access Protocol . . . 55--60
               Guido Simone and   
         Jeffrey A. Metzger and   
                 Gary Vaillette   Design of the Turbo PrintServer 20
                                  Controller . . . . . . . . . . . . . . . 61--72 (or 61--76??)


Digital Technical Journal of Digital Equipment Corporation
Volume 4, Number 1, Winter, 1992

                      Anonymous   Editors Introduction . . . . . . . . . . ??
             Joseph A. Carchidi   Foreword . . . . . . . . . . . . . . . . ??
              Alan Abrahams and   
                   David A. Low   An Overview of the PATHWORKS Product
                                  Family . . . . . . . . . . . . . . . . . 8--14 (or 8--15??)
        Edward W. Bresnahan and   
                  Siu Yin Cheng   PATHWORKS for VMS File Server  . . . . . 15--23 (or 15--22??)
                Philip J. Wells   The Development of an Optimized
                                  PATHWORKS Transport Interface  . . . . . 24--30 (or 24--31??)
         Anthony J. Rizzolo and   
        Elizabeth A. Brewer and   
             Martha A. Chandler   Design of the PATHWORKS for ULTRIX File
                                  Server . . . . . . . . . . . . . . . . . 31--39 (or 31--40??)
    Mitchell P. Lichtenberg and   
             Jeffrey R. Curless   DECnet Transport Architecture  . . . . . 40--47 (or 40--46??)
               Andrew W. Nourse   Microsoft Windows Network Virtual Device
                                  Drivers in PATHWORKS for DOS . . . . . . 47--55 (or 47--56??)
           Dennis G. Giokas and   
            Andrew T. Leskowitz   eXcursion for Windows: integrating two
                                  windowing systems  . . . . . . . . . . . 56--67
          Christopher E. Methot   Capacity Modeling of PATHWORKS
                                  Client-Server Workloads  . . . . . . . . 68--76

Digital Technical Journal of Digital Equipment Corporation
Volume 4, Number 2, Spring, 1992

              B. Zetterlund and   
              J. A. Farrell and   
                      T. F. Fox   Microprocessor Performance and Process
                                  Complexity in CMOS Technologies  . . . . 12--24
           Marden H. Seavey and   
          John V. Faricelli and   
            Nadim A. Khalil and   
                    G. Nanz and   
           L. M. Richardson and   
              C. O. Schiebl and   
            H. R. Soleimani and   
                     M. Thurner   Numerical device and process simulation
                                  tools in transistor design . . . . . . . 25--38
              Andre I. Nasr and   
           Gregory J. Grula and   
           Antonio C. Berti and   
                    R. D. Jones   CMOS-4 technology for fast logic and
                                  dense on-chip memory . . . . . . . . . . 39--50
           Marion M. Garver and   
           Joseph M. Bulger and   
            Thomas E. Clark and   
               J. H. Dubash and   
                 L. M. Ross and   
                    D. J. Welch   CMOS-4 Back-end Process Development for
                                  a VLSI 0.75 $ \mu $ m Triple-level
                                  Interconnection Technology . . . . . . . 51--72
             Mary Beth Nasr and   
                 Ellen J. Mager   Implementation of Defect Reduction
                                  Strategies into VLSI Manufacturing . . . 73--82
         Randall S. Collica and   
         X. Joseph Dietrich and   
       Rudolf Lambracht Jr. and   
                      D. G. Lau   A yield enhancement methodology for
                                  custom VLSI manufacturing  . . . . . . . 83--99
          Daniel B. Jackson and   
              David A. Bell and   
             Brian S. Doyle and   
             B. J. Fishbein and   
                 D. B. Krakauer   Transistor hot carrier reliability
                                  assurance in CMOS technologies . . . . . 100--113
          J. Joseph Clement and   
          Eugenia M. Atakov and   
                 James R. Lloyd   Electromigration reliability of VLSI
                                  interconnect . . . . . . . . . . . . . . 114--125

Digital Technical Journal of Digital Equipment Corporation
Volume 4, Number 3, Summer, 1992

                      Anonymous   Editors Introduction . . . . . . . . . . ??
               Robert M. Supnik   Foreword . . . . . . . . . . . . . . . . 9
           G. Michael Uhler and   
            Debra Bernstein and   
              Larry L. Biro and   
          John F. Brown III and   
          John H. Edmondson and   
       Jeffrey D. Pickholtz and   
               Rebecca L. Stamm   The NVAX and NVAX+ high-performance VAX
                                  microprocessors  . . . . . . . . . . . . 11--23
            Dale R. Donchin and   
         Timothy C. Fischer and   
              Thomas F. Fox and   
                Victor Peng and   
          Ronald P. Preston and   
             William R. Wheeler   The NVAX CPU Chip: Design Challenges,
                                  Methods, and CAD Tools . . . . . . . . . 24--37
                Walker Anderson   Logical Verification of the NVAX CPU
                                  Chip Design  . . . . . . . . . . . . . . 38--46
           Lawrence Chisvin and   
          Gregg A. Bouchard and   
              Thomas M. Wenners   The VAX 6000 Model 600 processor . . . . 47--59
        Jonathan C. Crowell and   
          Kwong-Tak A. Chui and   
            Thomas E. Kopec and   
      Samyojita A. Nadkarni and   
                  Dean A. Sovie   Design of the VAX 4000 Model 400, 500,
                                  and 600 Systems  . . . . . . . . . . . . 60--72
        Jonathan C. Crowell and   
               David W. Maruska   The design of the VAX 4000 Model 100 and
                                  MicroVAX 3100 Model 90 desktop systems   73--81
  Michael A. Callander, Sr. and   
          Lauren M. Carlson and   
             Andrew R. Ladd and   
           Mitchell O. Norcross   The VAXstation 4000 Model 90 . . . . . . 82--91
                   Brian Porter   VAX 6000 error handling: a pragmatic
                                  approach . . . . . . . . . . . . . . . . 92--104

Digital Technical Journal of Digital Equipment Corporation
Volume 4, Number 4, Fall, 1992

                      Anonymous   Editors Introduction . . . . . . . . . . ??
               Robert M. Supnik   Foreword . . . . . . . . . . . . . . . . ??
               Richard L. Sites   Alpha AXP architecture . . . . . . . . . 19--34
       Daniel W. Dobberpuhl and   
           Richard T. Witek and   
               Randy Allmon and   
              Robert Anglin and   
             David Bertucci and   
             Sharon Britton and   
                 Linda Chao and   
           Robert A. Conrad and   
            Daniel E. Dever and   
              Bruce Gieseke and   
         Soha M. N. Hassoun and   
        Gregory W. Hoeppner and   
            Kathryn Kuchler and   
               Maureen Ladd and   
            Burton M. Leary and   
                Liam Madden and   
         Edward J. McLellan and   
           Derrick R. Meyer and   
            James Montanaro and   
           Donald A. Priore and   
          Vidya Rajagopalan and   
          Sridhar Samudrala and   
             Sribalan Santhanam   A 200-MHz 64-bit Dual-Issue CMOS
                                  Microprocessor . . . . . . . . . . . . . 35--50
         Charles P. Thacker and   
            David G. Conroy and   
            Lawrence C. Stewart   The Alpha Demonstration Unit: A
                                  High-performance Multiprocessor for
                                  Software and Chip Development  . . . . . 51--65
             Todd A. Dutton and   
               Daniel Eiref and   
              Hugh R. Kurth and   
           James J. Reisert and   
               Robin L. Stewart   The design of the DEC 3000 AXP systems,
                                  two high-performance workstations  . . . 66--81
            Barry A. Maskas and   
         Stephen F. Shirron and   
            Nicholas A. Warchol   Design and performance of the DEC 4000
                                  AXP departmental server computing
                                  systems  . . . . . . . . . . . . . . . . 82--99
           Brian R. Allison and   
            Catharine van Ingen   Technical Description of the DEC 7000
                                  and DEC 10000 AXP Family . . . . . . . . 100--110
        Nancy P. Kronenberg and   
           Thomas R. Benson and   
           Wayne M. Cardoza and   
      Ravindran Jagannathan and   
         Benjamin J. Thomas III   Porting OpenVMS From VAX to Alpha AXP    111--120
        David S. Blickstein and   
             Peter W. Craig and   
       Caroline S. Davidson and   
        R. Neil Faiman, Jr. and   
            Kent D. Glossop and   
           Richard B. Grove and   
            Steven O. Hobbs and   
               William B. Noyce   The GEM Optimizing Compiler System . . . 121--136
           Richard L. Sites and   
             Anton Chernoff and   
            Matthew B. Kirk and   
           Maurice P. Marks and   
              Scott G. Robinson   Binary translation . . . . . . . . . . . 137--152
         Jeffrey A. Coffler and   
                Zia Mohamed and   
                 Peter M. Spiro   Porting Digital's database management
                                  products to the Alpha AXP platform . . . 153--164
           James V. Colombo and   
          Pamela J. Rickard and   
                    Paul Benoit   DECnet for OpenVMS AXP: a case history   165--180
        George A. Darcy III and   
          Ronald F. Brender and   
          Stephen J. Morris and   
                Michael V. Iles   Using Simulation to Develop and Port
                                  Software . . . . . . . . . . . . . . . . 181--192
               Peter F. Conklin   Enrollment management, managing the
                                  Alpha AXP program  . . . . . . . . . . . 193--205 (or 193--207?? or 192--208??)


Digital Technical Journal of Digital Equipment Corporation
Volume 5, Number 1, Winter, 1993

                      Anonymous   Editors Introduction . . . . . . . . . . ??
               Anthony G. Lauck   Foreword . . . . . . . . . . . . . . . . ??
              Kim A. Buxton and   
           Edward J. Ferris and   
                 Andrew K. Nash   The ULTRIX Implementation of DECnet/OSI  1--10 (??)
                    John Harper   Overview of Digital's open networking    12--20
             Lawrence Yetto and   
   Dorothy Noren Millbrandt and   
            Yanick Pouffary and   
         Daniel J. Ryan Jr. and   
              David J. Sullivan   The DECnet/OSI for OpenVMS version 5.5
                                  implementation . . . . . . . . . . . . . 21--33 (or 1--13??)
               K. A. Buxton and   
               E. J. Ferris and   
                     A. K. Nash   The ULTRIX implementation of DECnet/OSI  34--43
            Chran-Ham Chang and   
             Richard Flower and   
              John Forecast and   
               Heather Gray and   
            William R. Hawe and   
          Ashok P. Nadkarni and   
         K. K. Ramakrishnan and   
         Uttam N. Shikarpur and   
              Kathleen M. Wilde   High-performance TCP/IP and UDP/IP
                                  Networking in DEC OSF/1 for Alpha AXP    44--61
           Radia J. Perlman and   
             Ross W. Callon and   
            I. Michael C. Shand   Routing architecture . . . . . . . . . . 62--69
             Graham R. Cobb and   
              Elliot C. Gerberg   Digital's multiprotocol routing software
                                  design . . . . . . . . . . . . . . . . . 70--83
          Stewart F. Bryant and   
              David L. A. Brash   The DECNIS 500/600 multiprotocol
                                  bridge/router and gateway  . . . . . . . 84--98
            Robert J. Roden and   
                 Deborah Tayler   Frame relay networks . . . . . . . . . . 99--106
          David C. Robinson and   
       Lawrence N. Friedman and   
                Scott A. Wattum   An implementation of the OSI upper
                                  layers and applications  . . . . . . . . 107--116
              Mark W. Sylor and   
              Francis Dolan and   
             David G. Shurtleff   Network management . . . . . . . . . . . 117--129
               Colin Strutt and   
                 James A. Swist   Design of the DECmcc Management Director 130--142

Digital Technical Journal of Digital Equipment Corporation
Volume 5, Number 2, Spring, 1993

                      Anonymous   Editors Introduction . . . . . . . . . . ??
                   David Ascher   DEC @aGlance --- Integration of Desktop
                                  Tools and Manufacturing Process
                                  Information Systems  . . . . . . . . . . ??
                  John A. Morse   Foreword . . . . . . . . . . . . . . . . ??
                Robert Ulichney   Video Rendering  . . . . . . . . . . . . 9--18
 Burkhard K. Neidecker-Lutz and   
                Robert Ulichney   Software Motion Pictures . . . . . . . . 19--27
                  Davis Yen Pan   Digital Audio Compression  . . . . . . . 28--33 (or 28--40??)
           Jan B. te Kiefte and   
               Bob Hasenaar and   
             Joop W. Mevius and   
             Theo M. van Hunnik   The Megadoc Image Document Management
                                  System . . . . . . . . . . . . . . . . . 41--49
              Mark F. Riley and   
       James J. Feenan, Jr. and   
       John L. Janosik, Jr. and   
               T. K. Rengarajan   The Design of Multimedia Object Support
                                  in DEC Rdb . . . . . . . . . . . . . . . 50--64 (or 50--65??)
         Lawrence G. Palmer and   
                Ricky S. Palmer   DECspin: A Networked Desktop
                                  Videoconferencing Application  . . . . . 65--76
                Peter C. Hayden   LAN Addressing for Digital Video Data    77--83
           Paul B. Patrick, Sr.   CASE integration using ACA services  . . 84--99
                      D. Ascher   DEC@aGlance --- integration of desktop
                                  tools and manufacturing process
                                  information systems  . . . . . . . . . . 100--112

Digital Technical Journal of Digital Equipment Corporation
Volume 5, Number 3, Summer, 1993

                      Anonymous   Editors Introduction . . . . . . . . . . ??
           Claude Henri Pesquet   Foreword . . . . . . . . . . . . . . . . ??
           Timothy G. Greenwood   International Cultural Differences In
                                  Software . . . . . . . . . . . . . . . . 8--20
        Jörgen Bettels and   
                F. Avery Bishop   Unicode: A Universal Character Code  . . 21--31
           Wendy Rannenberg and   
            Jörgen Bettels   The X/Open Internationalization Model    32--42
          René Haentjens   The Ordering Of Universal Character
                                  Strings  . . . . . . . . . . . . . . . . 43--52
                Gayn B. Winters   International distributed systems ---
                                  architectural and practical issues . . . 53--62
              Michael M. T. Yau   Supporting the Chinese, Japanese, and
                                  Korean Languages in the OpenVMS
                                  Operating System . . . . . . . . . . . . 63--79
          Hirotaka Yoshioka and   
                     Jim Melton   Character Internationalization in
                                  Databases: A Case Study  . . . . . . . . 80--96
             Takahide Honma and   
             Hiroyoshi Baba and   
               Kuniaki Takizawa   Japanese Input Method Independent of
                                  Applications . . . . . . . . . . . . . . 97--107

Digital Technical Journal of Digital Equipment Corporation
Volume 5, Number 4, Fall, 1993

                      Anonymous   Editors Introduction . . . . . . . . . . ??
              Tony F. Hutchings   Foreword . . . . . . . . . . . . . . . . ??
                Stephen T. Knox   Modeling the Cost of Software Quality    9--17
           Paul K. Huntwork and   
          Douglas W. Muzzey and   
       Christine M. Pietras and   
                Dennis R. Wixon   Changing the Rules: A Pragmatic Approach
                                  to Product Development . . . . . . . . . 18--35
        John A. Hrones, Jr. and   
    Benjamin C. Jedrey, Jr. and   
                     Driss Zaaf   Defining global requirements with
                                  distributed QFD  . . . . . . . . . . . . 36--46
          Ernesto Guerrieri and   
                Bruce J. Taylor   DEC TP Workcenter: A Software Process
                                  Case Study . . . . . . . . . . . . . . . 47--58
          Neil L. M. Davies and   
             Margaret M. Dumont   SEI-based Process Improvement Efforts at
                                  Digital  . . . . . . . . . . . . . . . . 59--68
              Robert G. Thomson   Assessing the Quality of OpenVMS AXP:
                                  Software Measurement Using Subjective
                                  Data . . . . . . . . . . . . . . . . . . 69--78


Digital Technical Journal of Digital Equipment Corporation
Volume 6, Number 1, Winter, 1994

                      Anonymous   Editors Introduction . . . . . . . . . . ??
            Robert J. Souza and   
         P. G. Krishnakumar and   
Cüneyt M. Özveren and   
           Robert J. Simcoe and   
           Barry A. Spinney and   
           Robert E. Thomas and   
                Robert J. Walsh   GIGAswitch System: A High-Performance
                                  Packet-switching Platform  . . . . . . . 9--22
           Lucien A. Dimino and   
             Rabah Mediouni and   
           T. K. Rengarajan and   
          Michael S. Rubino and   
                 Peter M. Spiro   Performance of DEC Rdb Version 6.0 on
                                  AXP Systems  . . . . . . . . . . . . . . 23--35
         William L. Goleman and   
          Robert G. Thomson and   
               Paul J. Houlihan   Improving Process to Increase
                                  Productivity While Assuring Quality: A
                                  Case Study of the Volume Shadowing Port
                                  to OpenVMS AXP . . . . . . . . . . . . . 36--53
            David G. Conroy and   
            Thomas E. Kopec and   
              Joseph R. Falcone   The Evolution of the Alpha AXP PC  . . . 54--65
           Dina L. McKinney and   
           Masooma Bhaiwala and   
          Kwong-Tak A. Chui and   
    Christopher L. Houghton and   
           James R. Mullens and   
         Daniel L. Leibholz and   
            Sanjay J. Patel and   
            Delvan A. Ramey and   
             Mark B. Rosenbluth   Digital's DECchip 21066: The First
                                  Cost-focused Alpha AXP Chip  . . . . . . 66--77

Digital Technical Journal of Digital Equipment Corporation
Volume 6, Number 2, Spring, 1994

                      Anonymous   Editor's Introduction  . . . . . . . . . ??
                Scott A. Gordon   Foreword . . . . . . . . . . . . . . . . ??
          R. Kent Koeninger and   
               Mark Furtney and   
                  Martin Walker   A Shared Memory MPP from Cray Research   8--21
                 Robert Couranz   The E$^2$COTS system and Alpha AXP
                                  technology: the new computer standard
                                  for military use . . . . . . . . . . . . 22--33
               Ronald D. Levine   Volume rendering with the Kubota 3D
                                  imaging and graphics accelerator . . . . 34--48
      Samyojita A. Nadkarni and   
            Walker Anderson and   
          Lauren M. Carlson and   
              David Kravitz and   
       Mitchell O. Norcross and   
              Thomas M. Wenners   Development of Digital's PCI Chip Sets
                                  and Evaluation Kit for the DECchip 21064
                                  Microprocessor . . . . . . . . . . . . . 49--61
              David C. Cressman   Analysis of Data Compression in the
                                  DLT2000 Tape Drive . . . . . . . . . . . 62--71

Digital Technical Journal of Digital Equipment Corporation
Volume 6, Number 3, Summer, 1994

                      Anonymous   Editors Introduction . . . . . . . . . . ??
                   Steve Holmes   Foreword . . . . . . . . . . . . . . . . ??
               Fidelma M. Hayes   Design of the AlphaServer Multiprocessor
                                  Server Systems . . . . . . . . . . . . . 8--19
                Andrew P. Russo   The AlphaServer 2100 I/O Subsystem . . . 20--28
          Jeffrey M. Denham and   
                 Paula Long and   
              James A. Woodward   DEC OSF/1 Version 3.0 Symmetric
                                  Multiprocessing Implementation . . . . . 29--43
           Chandrika Kamath and   
                     Roy Ho and   
               Dwight P. Manley   DXML: A High-performance Scientific
                                  Subroutine Library . . . . . . . . . . . 44--56
             Robert H. Kuhn and   
              Bruce Leasure and   
                 Sanjiv M. Shah   The KAP Parallelizer for DEC Fortran and
                                  DEC C Programs . . . . . . . . . . . . . 57--70

Digital Technical Journal of Digital Equipment Corporation
Volume 6, Number 4, Fall, 1994

                      Anonymous   Editors Introduction . . . . . . . . . . ??
              Stephen J. Sicola   The Architecture and Design of HS-series
                                  StorageWorks Array Controllers . . . . . 5--25
      Christoph J. Bußler   Policy Resolution in Workflow Management
                                  Systems  . . . . . . . . . . . . . . . . 26--49
          Stewart V. Hoover and   
            Gary L. Kratkiewicz   The design of DECmodel for Windows . . . 50--62
           Dennis G. Giokas and   
                John C. Rokicki   The Design of ManageWORKS: A User
                                  Interface Framework  . . . . . . . . . . 63--74
               James E. Johnson   The Structure of the OpenVMS Management
                                  Station  . . . . . . . . . . . . . . . . 75--88
            John R. Lawson, Jr.   Automatic, Network-directed Operating
                                  System Software Upgrades: A
                                  Platform-independent Approach  . . . . . 89--100


Digital Technical Journal of Digital Equipment Corporation
Volume 7, Number 1, Winter, 1995

                  Jane C. Blake   Editors Introduction . . . . . . . . . . 3--4
               Richard L. Sites   Foreword . . . . . . . . . . . . . . . . 5--6
         Richard Pledereder and   
        Vishu Krishnamurthy and   
              Mayank Gagnon and   
                   M. Vadodaria   DB Integrator: Open Middleware for Data
                                  Access . . . . . . . . . . . . . . . . . 7--22
            Robert K. Baafi and   
              J. Ian Carrie and   
           William B. Drury and   
                Oren L. Wiesler   ACMSxp Open Distributed Transaction
                                  Processing . . . . . . . . . . . . . . . 23--33
         Norman G. Depledge and   
          William A. Turner and   
                 Alexandra Woog   An Open, Distributable, Three-tier
                                  Client-Server Architecture with
                                  Transaction Semantics  . . . . . . . . . 34--42
           David M. Fenwick and   
             Denis J. Foley and   
            William B. Gist and   
        Stephen R. VanDoren and   
                 Daniel Wissell   The AlphaServer 8000 Series: High-end
                                  Server Platform Development  . . . . . . 43--65
            Jean H. Basmaji and   
              Kay R. Fisher and   
           Frank W. Gatulis and   
            Herbert R. Kolk and   
            James F. Rosencrans   Digital's High-performance CMOS ASIC . . 66--76
          Nitin D. Godiwala and   
                Barry A. Maskas   The Second-generation Processor Module
                                  for AlphaServer 2100 Systems . . . . . . 77--88
           John H. Zurawski and   
             John E. Murray and   
                 Paul J. Lemmon   The Design and Verification of the
                                  AlphaStation 600 5-series Workstation    89--99
         William J. Bowhill and   
              Shane L. Bell and   
    Bradley J. Benschneider and   
            Andrew J. Black and   
          Sharon M. Britton and   
         Ruben W. Castelino and   
            Dale R. Donchin and   
          John H. Edmondson and   
         Harry R. Fair, III and   
          Paul E. Gronowski and   
               Anil K. Jain and   
        Patricia L. Kroesen and   
             Marc E. Lamere and   
          Bruce J. Loughlin and   
              Shekhar Mehta and   
          Robert O. Mueller and   
          Ronald P. Preston and   
         Sribalan Santhanam and   
           Timothy A. Shedd and   
           Michael J. Smith and   
            Stephen C. Thierauf   Circuit Implementation of a 300-MHz
                                  64-bit Second-generation CMOS Alpha CPU  100--118
          John H. Edmondson and   
          Paul I. Rubinfeld and   
            Peter J. Bannon and   
    Bradley J. Benschneider and   
            Debra Bernstein and   
         Ruben W. Castelino and   
        Elizabeth M. Cooper and   
            Daniel E. Dever and   
Dale R. Donchin Timothy C. Fischer and   
               Anil K. Jain and   
              Shekhar Mehta and   
            Jeanne E. Meyer and   
          Ronald P. Preston and   
          Vidya Rajagopalan and   
  Chandrasekhara Somanathan and   
            Scott A. Taylor and   
             Gilbert M. Wolrich   Internal Organization of the Alpha
                                  21164, a 300-MHz 64-bit Quad-issue CMOS
                                  RISC Microprocessor  . . . . . . . . . . 119--135
         Michael Kantrowitz and   
                  Lisa M. Noack   Functional Verification of a
                                  Multiple-issue, Pipelined, Superscalar
                                  Alpha Processor --- the Alpha 21164 CPU
                                  Chip . . . . . . . . . . . . . . . . . . 136--144

Digital Technical Journal of Digital Equipment Corporation
Volume 7, Number 2, Spring, 1995

                      Anonymous   Editors Introduction . . . . . . . . . . ??
              Mahendra R. Patel   Foreword . . . . . . . . . . . . . . . . ??
            Richard O. Hart and   
                   Glenn Lupton   DEC FUSE: Building a Graphical Software
                                  Development Environment from UNIX Tools  5--19
              Donald A. Zaremba   Adding a Data Visualization Tool to DEC
                                  FUSE . . . . . . . . . . . . . . . . . . 20--33
               Eric A. Newcomer   Multivendor Integration Architecture:
                                  Standards, Compliance Testing, and
                                  Applications . . . . . . . . . . . . . . 34--46
           James R. Kirkley and   
             William G. Nichols   Integrating Applications with Digital's
                                  Framework-based Environment  . . . . . . 47--55
                Owen H. Tallman   Project Gabriel: Automated Software
                                  Deployment in a Large Commercial Network 56--70

Digital Technical Journal of Digital Equipment Corporation
Volume 7, Number 3, Fall, 1995

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . 2--2
                 Jean C. Bonney   Foreword . . . . . . . . . . . . . . . . 3--4
            Jonathan Harris and   
            John A. Bircsak and   
           M. Regina Bolduc and   
           Jill Ann Diewald and   
                Israel Gale and   
            Neil W. Johnson and   
                   Shin Lee and   
        C. Alexander Nelson and   
                 Carl D. Offner   Compiling High Performance Fortran for
                                  Distributed-memory Systems . . . . . . . 5--23
           Edward G. Benson and   
David C. P. LaFrance-Linden and   
          Richard A. Warren and   
                 Santa Wiryaman   Design of Digital's Parallel Software
                                  Environment  . . . . . . . . . . . . . . 24--38
            Michael Stonebraker   An Overview of the Sequoia 2000 Project  39--49
              Ray R. Larson and   
           Christian Plaunt and   
        Allison G. Woodruff and   
                   Marti Hearst   The Sequoia 2000 Electronic Repository   50--65
          Peter D. Kochevar and   
              Leonard R. Wanger   Tecate: A Software Platform for Browsing
                                  and Visualizing Data from Networked Data
                                  Sources  . . . . . . . . . . . . . . . . 66--83
            Joseph Pasquale and   
           Eric W. Anderson and   
                 Kevin Fall and   
                Jonathan S. Kay   High-performance I/O and Networking
                                  Software in Sequoia 2000 . . . . . . . . 84--96

Digital Technical Journal of Digital Equipment Corporation
Volume 7, Number 4, March, 1996

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . ??
                Robert Ulichney   Foreword . . . . . . . . . . . . . . . . ??
            William I. Hallahan   DECtalk Software: Text-to-Speech
                                  Technology and Implementation  . . . . . 5--19
         Kenneth W. Correll and   
             Robert A. Ulichney   The J300 Family of Video and Audio
                                  Adapters: Architecture and Hardware
                                  Design . . . . . . . . . . . . . . . . . 20--33
                  Paramvir Bahl   The J300 Family of Video and Audio
                                  Adapters: Software Architecture  . . . . 34--51
              Paramvir Bahl and   
           Paul S. Gauthier and   
             Robert A. Ulichney   Software-only Compression, Rendering,
                                  and Playback of Digital Video  . . . . . 52--75
            Larry D. Seiler and   
             Robert A. Ulichney   Integrating Video Rendering into
                                  Graphics Accelerator Chips . . . . . . . 76--88
          Lawrence S. Cohen and   
               John H. Williams   Technical Description of the DECsafe
                                  Available Server Environment . . . . . . 89--100
             Michael Palmer and   
               Jeffrey M. Russo   Parasight: Debugging and Analyzing
                                  Real-time Applications under Digital
                                  UNIX . . . . . . . . . . . . . . . . . . 101--108


Digital Technical Journal of Digital Equipment Corporation
Volume 8, Number 1, May, 1996

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . ??
                    Don Harbert   Foreword . . . . . . . . . . . . . . . . ??
           Wayne M. Cardoza and   
        Frederick S. Glover and   
         William E. Snaman, Jr.   Design of the TruCluster Multicomputer
                                  System for the Digital UNIX Environment  5--17
            Linda S. Wilson and   
              Craig A. Neth and   
          Michael J. Rickabaugh   Delivering Binary Object Modification
                                  Tools for Program Analysis and
                                  Optimization . . . . . . . . . . . . . . 19--31 (or 18--31??)
            John T. Freitas and   
          James G. Peterson and   
             Scot A. Aurenz and   
     Charles P. Guldenschuh and   
                Paul J. Ranauro   Design of eXcursion Version 2 for
                                  Windows, Windows NT, and Windows 95  . . 32--45
             Margaret Olson and   
             Laura E. Holly and   
                   Colin Strutt   Integrating Multiple Directory Services  46--58
       Richard L. Rosenbaum and   
            Stanley I. Goldfarb   Design of the Common Directory Interface
                                  for DECnet/OSI . . . . . . . . . . . . . 59--72 (or 59--67??)

Digital Technical Journal of Digital Equipment Corporation
Volume 8, Number 2, October, 1996

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . ??
                  Rich Marcello   Foreword . . . . . . . . . . . . . . . . ??
           James E. Johnson and   
               William A. Laing   Overview of the Spiralog File System . . 5--14
         Christian Whitaker and   
           J. Stuart Bayley and   
            Rod D. W. Widdowson   Design of the Server for the Spiralog
                                  File System  . . . . . . . . . . . . . . 15--31
           Russell J. Green and   
          Alasdair C. Baird and   
          J. Christopher Davies   Designing a Fast, On-line Backup System
                                  for a Log-structured File System . . . . 32--45
             Mark A. Howell and   
               Julian M. Palmer   Integrating the Spiralog File System
                                  into the OpenVMS Operating System  . . . 46--56
          Michael S. Harvey and   
           Leonard S. Szubowicz   Extending OpenVMS for 64-bit Addressable
                                  Virtual Memory . . . . . . . . . . . . . 57--71
           Thomas R. Benson and   
              Karen L. Noel and   
            Richard E. Peterson   The OpenVMS Mixed Pointer Size
                                  Environment  . . . . . . . . . . . . . . 72--82
                 Duane A. Smith   Adding 64-bit Pointer Support to a
                                  32-bit Run-time Library  . . . . . . . . 83--95 (or 83--93??)
            James V. Lawton and   
            John J. Brosnan and   
            Morgan P. Doyle and   
Seosamh D. ÓRiordáin and   
              Timothy G. Reddin   Building a high-performance
                                  message-passing system for MEMORY
                                  CHANNEL clusters . . . . . . . . . . . . 96--116
           Bernard A. Rozmovits   The Design of User Interfaces for
                                  Digital Speech Recognition Software  . . 117--126

Digital Technical Journal of Digital Equipment Corporation
Volume 8, Number 3, 1996

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . ??
                 Alan G. Nemeth   Foreword . . . . . . . . . . . . . . . . ??
       Daniel T. Harrington and   
             James P. Bound and   
             John J. McCann and   
                    Matt Thomas   Internet Protocol version 6 and the
                                  Digital UNIX implementation experience   5--22
          Maxwell M. Burnet and   
               Robert M. Supnik   Preserving computing's past: restoration
                                  and simulation . . . . . . . . . . . . . 23--38
           William N. Celmaster   Modern Fortran revived as the language
                                  of scientific parallel computing . . . . 39--45
       Judith A. Piantedosi and   
         Archana S. Sathaye and   
             D. John Shakshober   Performance measurement of TruCluster
                                  systems under the TPC-C benchmark  . . . 46--57
               Tareef Kawaf and   
         D. John Shakshober and   
               David C. Stanley   Performance analysis using very large
                                  memory on the 64-bit AlphaServer system  58--65
              Dah Ming Chiu and   
               David M. Griffin   Building collaboration software for the
                                  Internet . . . . . . . . . . . . . . . . 66--74

Digital Technical Journal of Digital Equipment Corporation
Volume 8, Number 4, 1996

           Zarka Cvetanovic and   
            Darrel D. Donaldson   AlphaServer 4100 Performance
                                  Characterization . . . . . . . . . . . . 3
        Maurice B. Steinman and   
           George J. Harris and   
               Andrej Kocev and   
         Virginia C. Lamere and   
               Roger D. Pannell   The AlphaServer 4100 Cached Processor
                                  Module Architecture and Design . . . . . 21
                  Roger A. Dame   The AlphaServer 4100 Low-cost Clock
                                  Distribution System  . . . . . . . . . . 38
                Glenn A. Herdeg   Design and Implementation of the
                                  AlphaServer 4100 CPU and Memory
                                  Architecture . . . . . . . . . . . . . . 48
           Samuel H. Duncan and   
            Craig D. Keefer and   
           Thomas A. McLaughlin   High Performance I/O Design in the
                                  AlphaServer 4100 Symmetric
                                  Multiprocessing System . . . . . . . . . 61
               Vipin V. Gokhale   Design of the 64-bit Option for the
                                  Oracle7 Relational Database Management
                                  System . . . . . . . . . . . . . . . . . 76
           T. K. Rengarajan and   
           Maxwell Berenson and   
              Ganesan Gopal and   
             Bruce McCready and   
            Sapan Panigrahi and   
        Srikant Subramaniam and   
               Marc B. Sugiyama   VLM Capabilities of the Sybase System 11
                                  SQL Server . . . . . . . . . . . . . . . 83
            David P. Hunter and   
                  Eric B. Betts   Measured Effects of Adding Byte and Word
                                  Instructions to the Alpha Architecture   89


Digital Technical Journal of Digital Equipment Corporation
Volume 9, Number 1, 1997

              R. J. Hookway and   
                   M. A. Herdeg   DIGITAL FX!32: Combining Emulation and
                                  Binary Translation . . . . . . . . . . . 3
                L. P. Treggiari   Development of the Fortran Module Wizard
                                  within DIGITAL Visual Fortran  . . . . . 13
                   M. Fillo and   
                  R. B. Gillett   Architecture and Implementation of
                                  MEMORY CHANNEL 2 . . . . . . . . . . . . 27
               J. H. Parodi and   
                  F. W. Burgher   Integrating ObjectBroker and DCE
                                  Security . . . . . . . . . . . . . . . . 42
            James Montanaro and   
           Richard T. Witek and   
               Krishna Anne and   
            Andrew J. Black and   
        Elizabeth M. Cooper and   
       Daniel W. Dobberpuhl and   
            Paul M. Donahue and   
                    Jim Eno and   
        Gregory W. Hoeppner and   
           David Kruckemyer and   
              Thomas H. Lee and   
            Peter C. M. Lin and   
                Liam Madden and   
              Daniel Murray and   
             Mark H. Pearce and   
         Sribalan Santhanam and   
          Kathryn J. Snyder and   
               Ray Stephany and   
            Stephen C. Thierauf   A 160-MHz, 32-b, 0.5-W CMOS RISC
                                  Microprocessor . . . . . . . . . . . . . 49

Digital Technical Journal of Digital Equipment Corporation
Volume 9, Number 2, 1997

                  Jane C. Blake   Editor's Introduction  . . . . . . . . . ??
           Kenneth F. Alden and   
               Edward P. Wobber   The AltaVista Tunnel: Using the Internet
                                  to Extend Corporate Networks . . . . . . 5
              J. Mark Smith and   
            Sean G. Doherty and   
            Oliver J. Leahy and   
                Dermot M. Tynan   Protecting a Private Network: The
                                  AltaVista Firewall . . . . . . . . . . . 17
                   Nick Shipman   Developing Internet Software: AltaVista
                                  Mail . . . . . . . . . . . . . . . . . . 23
           Kenneth M. Weiss and   
               Kenneth A. House   DIGITAL Personal Workstations: The
                                  Design of High-performance, Low-cost
                                  Alpha Systems  . . . . . . . . . . . . . 45
           Reinhard C. Schumann   Design of the 21174 Memory Controller
                                  for DIGITAL Personal Workstations  . . . 57

Digital Technical Journal of Digital Equipment Corporation
Volume 9, Number 3, 1998

                      Anonymous   Editor's Introduction  . . . . . . . . . ??
                   Richard Lary   Foreword . . . . . . . . . . . . . . . . ??
                 William E. Ham   Recent Advances in Basic Physical
                                  Technology for Parallel SCSI: UltraSCSI,
                                  Expanders, Interconnect, and Hot
                                  Plugging . . . . . . . . . . . . . . . . 6
         Peter L. Higginson and   
               Michael C. Shand   Development of Router Clusters to
                                  Provide Fast Failover in IP Networks . . 32
         Lawrence G. Palmer and   
                Ricky S. Palmer   Shared Desktop: A Collaborative Tool for
                                  Sharing 3-D Applications among Different
                                  Window Systems . . . . . . . . . . . . . 42
    David C. P. LaFrance-Linden   Challenges in Designing an HPF Debugger  50

Digital Technical Journal of Digital Equipment Corporation
Volume 9, Number 4, 1998

                 R. S. Cohn and   
              D. W. Goodwin and   
                   P. G. Lowney   Optimizing Alpha Executables on Windows
                                  NT with Spike  . . . . . . . . . . . . . 3
             Simon M. Balle and   
         Susanne C. Steely, Jr.   Analyzing Memory Access Patterns of
                                  Programs on Alpha-based Architectures    21--32
             Karen L. Notel and   
             Nitin Y. Karkhanis   OpenVMS Alpha 64-bit Very Large Memory
                                  Design . . . . . . . . . . . . . . . . . 33--48
        Benjamin N. Lipchak and   
           Thomas Frisinger and   
           Karen L. Bircsak and   
          Keith L. Comeford and   
           Michael I. Rosenblum   PowerStorm 4DT: A High-performance
                                  Graphics Software Architecture . . . . . 49--60
                Robert J. Walsh   DART: Fast Application-level Networking
                                  via Data-copy Avoidance  . . . . . . . . 61--75


Digital Technical Journal of Digital Equipment Corporation
Volume 10, Number 1, 1998

               C. Robert Morgan   Introduction . . . . . . . . . . . . . . ??
               William C. Blake   Foreword . . . . . . . . . . . . . . . . ??
           Jason P. Casmira and   
            David P. Hunter and   
                 David R. Kaeli   Tracing and Characterization of Windows
                                  NT-based System Workloads  . . . . . . . 6--21
         Avrum E. Itzkowitz and   
                 Lois D. Foltan   Automatic Template Instantiation in
                                  DIGITAL C++  . . . . . . . . . . . . . . 22--31
         Hemant G. Rotithor and   
            Kevin W. Harris and   
                  Mark W. Davis   Measurement and Analysis of C and C++
                                  Performance  . . . . . . . . . . . . . . 32--47
               August G. Reinig   Alias Analysis in the DEC C and DIGITAL
                                  C++ Compilers  . . . . . . . . . . . . . 48--57
           Philip H. Sweany and   
             Steven M. Carr and   
                 Brett L. Huber   Compiler Optimization for Superscalar
                                  Systems: Global Instruction Scheduling
                                  without Copies . . . . . . . . . . . . . 58--70
               Mary W. Hall and   
       Jennifer M. Anderson and   
       Saman P. Amarasinghe and   
            Brian R. Murphy and   
              Shih-Wei Liao and   
            Eduoard Bugnion and   
                  Monica S. Lam   Maximizing Multiprocessor Performance
                                  with the SUIF Compiler . . . . . . . . . 71--80
          Ronald F. Brender and   
          Jeffrey E. Nelson and   
              Mark E. Arsenault   Debugging Optimized Code: Concepts and
                                  Implementation on DIGITAL Alpha Systems  81--99
              Ronald F. Brender   An Annotated Bibliography on Debugging
                                  Optimized Code . . . . . . . . . . . . . ??
            William M. McKeeman   Differential Testing for Software  . . . 100--107