Valid HTML 4.0! Valid CSS!
%%% -*-BibTeX-*-
%%% ====================================================================
%%%  BibTeX-file{
%%%     author          = "Nelson H. F. Beebe",
%%%     version         = "1.00",
%%%     date            = "27 October 2025",
%%%     time            = "10:17:08 MDT",
%%%     filename        = "cordic.bib",
%%%     address         = "University of Utah
%%%                        Department of Mathematics, 110 LCB
%%%                        155 S 1400 E RM 233
%%%                        Salt Lake City, UT 84112-0090
%%%                        USA",
%%%     telephone       = "+1 801 581 5254",
%%%     URL             = "https://www.math.utah.edu/~beebe",
%%%     checksum        = "22541 38353 163328 1720473",
%%%     email           = "beebe at math.utah.edu, beebe at acm.org,
%%%                        beebe at computer.org (Internet)",
%%%     codetable       = "ISO/ASCII",
%%%     keywords        = "bibliography; BibTeX; computer arithmetic;
%%%                        computer hardware; cotangent; CORDIC
%%%                        (COordinate Rotation DIgital Computer);
%%%                        cosine; elementary functions; exponential;
%%%                        inverse cotangent; inverse tangent;
%%%                        logarithm; sine; tangent",
%%%     license         = "public domain",
%%%     supported       = "yes",
%%%     docstring       = "This is a bibliography of publications
%%%                        about CORDIC algorithms for implementing
%%%                        divide, multiply, and square root operations,
%%%                        and for evaluation of selected elementary
%%%                        functions commonly used in computer
%%%                        programming languages.  Extended applications
%%%                        of CORDIC methods include matrix computations
%%%                        and hardware random-number generation.
%%%
%%%                        At version 1.00, the year coverage looked
%%%                        like this:
%%%
%%%                             1624 (   1)    1759 (   0)    1894 (   0)
%%%                             1686 (   0)    1821 (   0)    1956 (   2)
%%%                             1688 (   0)    1823 (   0)    1958 (   1)
%%%                             1689 (   0)    1824 (   0)    1959 (   4)
%%%                             1690 (   0)    1825 (   0)    1960 (   2)
%%%                             1692 (   0)    1827 (   0)    1962 (   3)
%%%                             1695 (   0)    1830 (   0)    1965 (   2)
%%%                             1696 (   0)    1831 (   0)    1966 (   1)
%%%                             1698 (   0)    1833 (   0)    1968 (   2)
%%%                             1699 (   0)    1834 (   0)    1969 (   1)
%%%                             1700 (   0)    1835 (   0)    1970 (   2)
%%%                             1701 (   0)    1836 (   0)    1971 (   2)
%%%                             1702 (   0)    1837 (   0)    1972 (   3)
%%%                             1703 (   0)    1838 (   0)    1973 (   6)
%%%                             1704 (   0)    1839 (   0)    1974 (   4)
%%%                             1705 (   0)    1840 (   0)    1975 (   6)
%%%                             1706 (   0)    1841 (   0)    1976 (   2)
%%%                             1707 (   0)    1842 (   0)    1977 (   5)
%%%                             1708 (   0)    1843 (   0)    1978 (   3)
%%%                             1709 (   0)    1844 (   0)    1979 (   7)
%%%                             1710 (   0)    1845 (   0)    1980 (   7)
%%%                             1711 (   0)    1846 (   0)    1981 (   3)
%%%                             1712 (   0)    1847 (   0)    1982 (   8)
%%%                             1713 (   0)    1848 (   0)    1983 (  11)
%%%                             1714 (   0)    1849 (   0)    1984 (  11)
%%%                             1715 (   0)    1850 (   0)    1985 (  17)
%%%                             1716 (   0)    1851 (   0)    1986 (  10)
%%%                             1717 (   0)    1852 (   0)    1987 (  20)
%%%                             1718 (   0)    1853 (   0)    1988 (  16)
%%%                             1719 (   0)    1854 (   0)    1989 (  34)
%%%                             1720 (   0)    1855 (   0)    1990 (  24)
%%%                             1721 (   0)    1856 (   0)    1991 (  28)
%%%                             1722 (   0)    1857 (   0)    1992 (  20)
%%%                             1723 (   0)    1858 (   0)    1993 (  25)
%%%                             1724 (   0)    1859 (   0)    1994 (  20)
%%%                             1725 (   0)    1860 (   0)    1995 (  23)
%%%                             1726 (   0)    1861 (   0)    1996 (  24)
%%%                             1727 (   0)    1862 (   0)    1997 (  27)
%%%                             1728 (   0)    1863 (   0)    1998 (  35)
%%%                             1729 (   0)    1864 (   0)    1999 (  18)
%%%                             1730 (   0)    1865 (   0)    2000 (  33)
%%%                             1731 (   0)    1866 (   0)    2001 (  23)
%%%                             1732 (   0)    1867 (   0)    2002 (  22)
%%%                             1733 (   0)    1868 (   0)    2003 (  23)
%%%                             1734 (   0)    1869 (   0)    2004 (  27)
%%%                             1735 (   0)    1870 (   0)    2005 (  29)
%%%                             1736 (   0)    1871 (   0)    2006 (  37)
%%%                             1737 (   0)    1872 (   0)    2007 (  31)
%%%                             1738 (   0)    1873 (   0)    2008 (  42)
%%%                             1739 (   0)    1874 (   0)    2009 (  44)
%%%                             1740 (   0)    1875 (   0)    2010 (  57)
%%%                             1741 (   0)    1876 (   0)    2011 (  60)
%%%                             1742 (   0)    1877 (   0)    2012 (  67)
%%%                             1743 (   0)    1878 (   0)    2013 (  51)
%%%                             1744 (   0)    1879 (   0)    2014 (  54)
%%%                             1745 (   0)    1880 (   0)    2015 (  61)
%%%                             1746 (   0)    1881 (   0)    2016 (  62)
%%%                             1747 (   0)    1882 (   0)    2017 (  54)
%%%                             1748 (   0)    1883 (   0)    2018 (  54)
%%%                             1749 (   0)    1884 (   0)    2019 (  55)
%%%                             1750 (   0)    1885 (   0)    2020 (  61)
%%%                             1751 (   0)    1886 (   0)    2021 (  47)
%%%                             1752 (   0)    1887 (   0)    2022 (  32)
%%%                             1753 (   0)    1888 (   0)    2023 (  41)
%%%                             1754 (   0)    1889 (   0)    2024 (  49)
%%%                             1755 (   0)    1890 (   0)    2025 (  44)
%%%                             1756 (   0)    1891 (   0)    2026 (   1)
%%%                             19xx (   1)
%%%
%%%                             Article:        486
%%%                             Book:            17
%%%                             InBook:          53
%%%                             InCollection:    10
%%%                             InProceedings:  939
%%%                             MastersThesis:   21
%%%                             Misc:            13
%%%                             PhdThesis:       26
%%%                             Proceedings:     22
%%%                             TechReport:      14
%%%                             Unpublished:      1
%%%
%%%                             Total entries: 1602
%%%
%%%                        Web resources about CORDIC algorithms include
%%%
%%%                            https://cordic-bibliography.blogspot.com/p/cordic-bibliography-other-publications.html
%%%                            https://docs.amd.com/r/en-US/ug958-vivado-sysgen-ref/CORDIC-ATAN
%%%                            https://en.wikipedia.org/wiki/CORDIC
%%%                            https://web.cs.ucla.edu/digital_arithmetic/files/ch11.pdf
%%%                            https://www.allaboutcircuits.com/technical-articles/an-introduction-to-the-cordic-algorithm/
%%%                            https://www.controlpaths.com/2022/04/25/exploring-the-cordic-algorithm/
%%%
%%%                        This file contains all of the references in
%%%                        the extensive bibliographies of entry
%%%                        Hu:1992:CBV, and Chapter 11 of entry
%%%                        Ercegovac:2004:DA, plus all of the entries
%%%                        with CORDIC titles found in 2.05 million
%%%                        entries in the BibNet Project and the TeX
%%%                        User Group bibliography archives.  Thus, the
%%%                        CORDIC coverage in this file is extensive,
%%%                        but certainly far from complete.
%%%
%%%                        The history of the development of the CORDIC
%%%                        algorithms is described in a conference paper
%%%                        [Volder:1959:CCT] and its journal reprint
%%%                        [Volder:1959:CTC].  Its description appeared
%%%                        first in an internal corporate report
%%%                        [Volder:1956:BCA].  It grew out of a pressing
%%%                        need for real-time defense aircraft
%%%                        navigation, particularly near the North Pole.
%%%                        That had previously been done by analog
%%%                        devices, but they proved too slow.  The first
%%%                        hardware implementations of the navigation
%%%                        systems were the CORDIC-I and CORDIC-II
%%%                        computers, whose descriptions, photographs,
%%%                        and specifications appear in Volder's 1959
%%%                        paper.
%%%
%%%                        Volder writes that a key need in navigation
%%%                        is to rotate vector coordinate systems,
%%%                        according to the relations (in TeX notation)
%%%
%%%                            x_r = x \cos(\beta + \theta) - y \sin(\theta)
%%%                            Y_r = x \sin(\beta + \theta) - y \cos(\theta)
%%%
%%%                        which take a point (x,y) in a coordinate
%%%                        system already rotated by an angle \beta into
%%%                        a new point (x_r, y_r) in a coordinate system
%%%                        further rotated by \theta.
%%%
%%%                        Volder found angle-addition formulas for
%%%                        trigonometric functions in the 1946 Handbook
%%%                        of Chemistry and Physics, and realized after
%%%                        study that the rotations could be carried out
%%%                        in tiny, but simple, steps such that with a
%%%                        single-step rotation by a small angle \phi
%%%                        defined by
%%%
%%%                            \tan(\phi) = 2^{-n}
%%%                                  \phi = \arctan(2^{-n})
%%%
%%%                        then after the first step, we have a scale
%%%                        factor
%%%
%%%                            K = \sqrt{1 + 2^{-2 n}}
%%%
%%%                        and the new cosine and sine are defined by
%%%
%%%                            K \cos(\beta \pm \phi) = \cos(\beta) \pm
%%%                                                     2^{-n} \sin(\beta)
%%%
%%%                            K \sin(\beta \pm \phi) = \sin(\beta) \pm
%%%                                                     2^{-n} \cos(\beta)
%%%
%%%                        The product of K factors after n steps scales
%%%                        the final cosine and sine values.  If the
%%%                        initial \beta is 0, we start with \cos(0) = 1
%%%                        and \sin(0) = 0, and after n steps, we have
%%%                        found \cos(\phi) and \sin(phi) by operations
%%%                        that only require multiplication by 1 and 1
%%                        + 2{-k}, which in fixed-point binary
%%%                        arithmetic only requires a bit shift and an
%%%                        addition.
%%%
%%%                        The required initial value of \phi is easily
%%%                        found from the Taylor series expansion
%%%
%%%                            % maple
%%%                            > taylor(arctan(x), x = 0, 9);
%%%                                         3        5        7      9
%%%                                x - 1/3 x  + 1/5 x  - 1/7 x  + O(x )
%%%
%%%                        If we have a p-bit fixed-point number, then
%%%                        that sum can be truncated to just its first
%%%                        term if we pick step numbers n >= p/2.  Thus,
%%%                        NO TRIGONOMETRIC FUNCTIONS WHATEVER are
%%%                        needed to find the cosine and sine: we get
%%%                        those values after n shift-add steps, and a
%%%                        final division by the product of intermdiate
%%%                        K values.  A division of the sine by the
%%%                        cosine produces the tangent.
%%%
%%%                        There is some evidence of earlier discovery
%%%                        of CORDIC-like algorithms.  According to
%%%                        entries Linhardt:1969:DDT, Kropa:1978:CA, and
%%%                        Muller:2006:EFA the English mathematician
%%%                        Henry Briggs (1561--1630), one of the fathers
%%%                        of logarithms, found and used the method
%%%                        about 1616--1617.  Briggs' work is recorded
%%%                        in entry Briggs:1624:ALL, and analyzed,
%%%                        corrected, and reproduced in entry
%%%                        Roegel:2011:RTB.  Danny Hillis, the chief
%%%                        architect of the Connection Machine
%%%                        supercomputer in the 1980s wrote in entry
%%%                        Hillis:1989:RFC that his consultant, Richard
%%%                        P.  Feynman (Nobel Prize in Physics, 1965),
%%%                        independently discovered the CORDIC algorithm
%%%                        in his theoretical and computational work in
%%%                        the Manhattan Project (1943--1945) at Los
%%%                        Alamos, New Mexico, during World War II.
%%%                        Feynman apparently never published that
%%%                        algorithm, so the details, and practical
%%%                        applications, of his CORDIC work are lost.
%%%
%%%                        Thus, Bemer (1958), Volder (1956, 1959), and
%%%                        Walther (1971) generally get citation credit
%%%                        for CORDIC methods.  An earlier candidate for
%%%                        CORDIC credit is entry Marx:1956:ABL, but
%%%                        that publication has not yet been located to
%%%                        check its methods, and so far, only one
%%%                        reference to it, in entry Ercegovac:1973:REC,
%%%                        has been found.  Volder's 1956 work was a
%%%                        corporate secret, but became known through
%%%                        his 1959 Western Joint Computer Conference
%%%                        presentation and its journal reprint later
%%%                        that year.  In his 1971 Spring Joint Computer
%%%                        Conference paper, Walther generalized
%%%                        Volder's trigonometric approach to also
%%%                        handle hyperbolic functions.
%%%
%%%                        Here are some useful function relations
%%%                        that expand the scope of CORDIC methods,
%%%                        and give useful cross checks on correct
%%%                        implementation:
%%%
%%%                            cos(x + 2 n PI) = cos(x)
%%%
%%%                            cos(x) = sqrt(1 - sin(x)**2)
%%%
%%%                            cosh(x) = sqrt(1 + sinh(x)**2)
%%%
%%%                            exp(x) = cosh(x) + sinh(x)
%%%
%%%                            ln((1 + x) / (1 - x)) = 2 atanh(x)
%%%
%%%                            ln(x) = 2 atanh((x - 1) / (x + 1))
%%%
%%%                            ln(1 + x) = 2 atanh(x / (x + 2))
%%%
%%%                            sin(x + 2 n PI) = sin(x)
%%%
%%%                            sin(x) = sqrt(1 - cos(x)**2)
%%%
%%%                            sinh(x) = sqrt(cosh(x)**2 - 1)
%%%
%%%                            tan(x) = sin(x) / cos(x)
%%%
%%%                            tan(PI / 2 - x) = 1 / tan(x)
%%%
%%%                            tan(x + n PI) = tan(x)   [n an integer]
%%%
%%%                            tan(x + PI/2) = tan(x) - 2 / sin(2 x)
%%%
%%%                        The checksum field above contains a CRC-16
%%%                        checksum as the first value, followed by the
%%%                        equivalent of the standard UNIX wc (word
%%%                        count) utility output of lines, words, and
%%%                        characters.  This is produced by Robert
%%%                        Solovay's checksum utility.",
%%%  }
%%% ====================================================================
@Preamble{
  "\ifx \undefined \booktitle \def \booktitle    #1{{{\em #1}}}    \fi" #
  "\ifx \undefined \k         \let \k = \c \fi" #
  "\hyphenation{Cor-dic COR-DIC}"
}

%%% ====================================================================
%%% Acknowledgement abbreviations:
@String{ack-nhfb = "Nelson H. F. Beebe,
                    University of Utah,
                    Department of Mathematics, 110 LCB,
                    155 S 1400 E RM 233,
                    Salt Lake City, UT 84112-0090, USA,
                    Tel: +1 801 581 5254,
                    e-mail: \path|beebe@math.utah.edu|,
                            \path|beebe@acm.org|,
                            \path|beebe@computer.org| (Internet),
                    URL: \path|https://www.math.utah.edu/~beebe/|"}

%%% ====================================================================
%%% Institute abbreviations:
@String{inst-LORIA-INRIA-LORRAINE = "LORIA/INRIA Lorraine"}
@String{inst-LORIA-INRIA-LORRAINE:adr = "B{\^a}timent A, Technop{\^o}le de
                                  Nancy-Brabois, 615 rue du jardin
                                  botanique, F-54602
                                  Villers-l{\`e}s-Nancy Cedex, France"}

%%% ====================================================================
%%% Journal abbreviations:
@String{j-AMER-MATH-MONTHLY     = "American Mathematical Monthly"}

@String{j-ASTRON-ASTROPHYS      = "Astronomy and Astrophysics"}

@String{j-C-R-PHYS              = "Comptes Rendus Physique"}

@String{j-CACM                  = "Communications of the ACM"}

@String{j-COLLEGE-MATH-J        = "College Mathematics Journal"}

@String{j-COMP-ARCH-NEWS        = "ACM SIGARCH Computer Architecture News"}

@String{j-COMP-J                = "The Computer Journal"}

@String{j-COMPUT-ELECTR-ENG     = "Computers and Electrical Engineering"}

@String{j-COMPUTER              = "Computer"}

@String{j-CSSP                  = "Circuits, systems, and signal processing:
                                  {CSSP}"}

@String{j-CUJ                   = "C Users Journal"}

@String{j-DDJ                   = "Dr. Dobb's Journal of Software Tools"}

@String{j-DIGIT-SIGNAL-PROCESS  = "Digital Signal Processing"}

@String{j-ELECT-LETTERS         = "Electronics Letters"}

@String{j-ELECTRON-ENG          = "Electronic Engineering"}

@String{j-EURASIP-J-ADV-SIGNAL-PROCESS = "EURASIP Journal on Advances in Signal
                                  Processing"}

@String{j-HEWLETT-PACKARD-J     = "Hew\-lett-Pack\-ard Journal: technical
                                  information from the laboratories of
                                  Hew\-lett-Pack\-ard Company"}

@String{j-IBM-JRD               = "IBM Journal of Research and Development"}

@String{j-IEEE-ACCESS           = "IEEE Access"}

@String{j-IEEE-ANN-HIST-COMPUT  = "IEEE Annals of the History of Computing"}

@String{j-IEEE-APM              = "IEEE Antennas and Propagation Magazine"}

@String{j-IEEE-CGA              = "IEEE Computer Graphics and Applications"}

@String{j-IEEE-COMM-MAG         = "IEEE Communications Magazine"}

@String{j-IEEE-COMMUN-LET       = "IEEE Communications Letters"}

@String{j-IEEE-COMPUT-ARCHIT-LETT = "IEEE Computer Architecture Letters"}

@String{j-IEEE-COMPUT-SCI-ENG   = "IEEE Computational Science \& Engineering"}

@String{j-IEEE-DES-TEST-COMPUT  = "IEEE Design \& Test of Computers"}

@String{j-IEEE-INT-CONF-ALG-ARCH-PAR-PROC = "IEEE International Conference on
                                  Algorithms and Architectures for Parallel
                                  Processing"}

@String{j-IEEE-INTERNET-COMPUT  = "IEEE Internet Computing"}

@String{j-IEEE-J-EMERG-SEL-TOP-CIRCUITS-SYST = "IEEE Journal on Emerging and
                                  Selected Topics in Circuits and Systems"}

@String{j-IEEE-J-ROBOTICS-AUTOMATION = "IEEE Journal of Robotics and
                                  Automation"}

@String{j-IEEE-J-SEL-AREAS-COMMUN = "IEEE Journal on Selected Areas in
                                  Communications"}

@String{j-IEEE-J-SOLID-STATE-CIRCUITS = "IEEE Journal of Solid-State Circuits"}

@String{j-IEEE-MICRO            = "IEEE Micro"}

@String{j-IEEE-POT              = "IEEE Potentials"}

@String{j-IEEE-SIGNAL-PROCESS-LETT = "IEEE Signal Processing Letters"}

@String{j-IEEE-SIGNAL-PROCESS-MAG = "IEEE Signal Processing Magazine"}

@String{j-IEEE-SOFTWARE         = "IEEE Software"}

@String{j-IEEE-SPECTRUM         = "IEEE Spectrum"}

@String{j-IEEE-TIT              = "IEEE Transactions on Information Theory"}

@String{j-IEEE-TRANS-ACOUST-SPEECH = "IEEE Transactions on Acoustics, Speech,
                                  and Signal Processing"}

@String{j-IEEE-TRANS-AEROSP-ELECTRON-SYST = "IEEE Transactions on Aerospace
                                  and Electronic Systems"}

@String{j-IEEE-TRANS-APPL-SUPERCOND = "IEEE Transactions on Applied
                                  Superconductivity"}

@String{j-IEEE-TRANS-AUDIO-ELECTROACOUST = "IEEE Transactions on Audio and
                                  Electroacoustics"}

@String{j-IEEE-TRANS-AUTOMAT-CONTR = "IEEE Transactions on Automatic Control"}

@String{j-IEEE-TRANS-BIOMED-ENG = "IEEE Transactions on Biomedical
                                  Engineering"}

@String{j-IEEE-TRANS-BROADCAST  = "IEEE Transactions on Broadcasting"}

@String{j-IEEE-TRANS-CAD-ICS    = "IEEE Transactions on Computer-Aided Design
                                  of Integrated Circuits and Systems"}

@String{j-IEEE-TRANS-CIRCUITS-SYST = "IEEE Transactions on Circuits and
                                  Systems"}

@String{j-IEEE-TRANS-CIRCUITS-SYST-1 = "IEEE Transactions on Circuits and
                                  Systems I: Regular Papers"}

@String{j-IEEE-TRANS-CIRCUITS-SYST-I-FUNDAM-THEORY-APPL = "IEEE Transactions on
                                Circuits and Systems I: Fundamental
                                Theory and Applications"}

@String{j-IEEE-TRANS-CIRCUITS-SYST-2 = "IEEE transactions on circuits and
                                  systems. 2, Analog and digital signal
                                  processing"}

@String{j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS = "IEEE Transactions on
                                  Circuits and Systems II: Express Briefs"}

@String{j-IEEE-TRANS-CIRCUITS-SYST-VIDEO-TECH = "IEEE Transactions on Circuits
                                  and Systems for Video Technology"}

@String{j-IEEE-TRANS-CIRCUIT-THEORY = "IEEE Transactions on Circuit Theory"}

@String{j-IEEE-TRANS-COMM       = "IEEE Transactions on Communications"}

@String{j-IEEE-TRANS-COMPUT     = "IEEE Transactions on Computers"}

@String{j-IEEE-TRANS-CONSUMER-ELECTRONICS = "IEEE Transactions on Consumer
                                  Electronics"}

@String{j-IEEE-TRANS-CONTROL-SYST-TECHNOL = "IEEE Transactions on Control
                                  Systems Technology"}

@String{j-IEEE-TRANS-EDUC       = "IEEE Transactions on Education"}

@String{j-IEEE-TRANS-ELEC-COMPUT = "IEEE Transactions on Electronic Computers"}

@String{j-IEEE-TRANS-EMERG-TOP-COMPUT = "IEEE Transactions on Emerging Topics in
                                  Computing"}

@String{j-IEEE-TRANS-IND-ELECTRON = "IEEE Transactions on Industrial
                                  Electronics"}

@String{j-IEEE-TRANS-INF-THEORY = "IEEE Transactions on Information Theory"}

@String{j-IEEE-TRANS-INSTRUM-MEAS = "IEEE Transactions on Instrumentation and
                                  Measurement"}

@String{j-IEEE-TRANS-MICROWAVE-THEORY-TECH = "IEEE Transactions on Microwave
                                  Theory and Techniques"}

@String{j-IEEE-TRANS-NEURAL-NETW = "IEEE Transactions on Neural Networks"}

@String{j-IEEE-TRANS-NUCL-SCI   = "IEEE Transactions on Nuclear Science"}

@String{j-IEEE-TRANS-PAR-DIST-SYS = "IEEE Transactions on Parallel and
                                    Distributed Systems"}

@String{j-IEEE-TRANS-PATT-ANAL-MACH-INTEL = "IEEE Transactions on Pattern
                                  Analysis and Machine Intelligence"}

@String{j-IEEE-TRANS-PROF-COMMUN = "IEEE Transactions on Professional
                                  Communication"}

@String{j-IEEE-TRANS-SIG-PROC   = "IEEE Transactions on Signal Processing"}

@String{j-IEEE-TRANS-SOFTW-ENG  = "IEEE Transactions on Software Engineering"}

@String{j-IEEE-TRANS-VEH-TECHNOL = "IEEE Transactions on Vehicular Technology"}

@String{j-IEEE-TRANS-VIS-COMPUT-GRAPH = "IEEE Transactions on Visualization and
                                  Computer Graphics"}

@String{j-IEEE-TRANS-VLSI-SYST  = "IEEE Transactions on Very Large Scale
                                  Integration (VLSI) Systems"}

@String{j-IEEE-TRANS-WIREL-COMMUN = "IEEE Transactions on Wireless
                                  Communications"}

@String{j-IMAGE-VIS-COMPUT      = "Image and Vision Computing"}

@String{j-INT-J-COMP-APPL       = "International Journal of Computer
                                  Applications"}

@String{j-INT-J-COMPUT-MATH     = "International Journal of Computer
                                  Mathematics"}

@String{j-INT-J-ELECTRON        = "International Journal of Electronics
                                  Theoretical \& Experimental"}

@String{j-INTEGRATION-VLSI-J    = "Integration, the VLSI Journal"}

@String{j-IRE-TRANS-ELEC-COMPUT = "IRE Transactions on Electronic Computers"}

@String{j-J-CHINESE-INST-ENG    = "Journal of the Chinese Institute of Engineers
                                   = Chung-kuo kung ch'eng hsueh kan"}

@String{j-J-COMPUT-SCI          = "Journal of Computational Science"}

@String{j-J-MATH-ANAL-APPL      = "Journal of Mathematical Analysis and
                                  Applications"}

@String{j-J-OPT-SOC-AM          = "Journal of the Optical Society of America"}

@String{j-J-PAR-DIST-COMP       = "Journal of Parallel and Distributed
                                  Computing"}

@String{j-J-PHYS-CONF-SER       = "Journal of Physics: Conference Series"}

@String{j-J-SYST-ARCH           = "Journal of Systems Architecture"}

@String{j-J-VLSI-COMPUT-SYST    = "Journal of VLSI and Computer Systems"}

@String{j-J-VLSI-SIGNAL-PROC    = "Journal of VLSI Signal Processing"}

@String{j-J-VLSI-SIGNAL-PROC-SSIVT = "Journal of VLSI Signal Processing Systems
                                  for Signal, Image and Video Technology"}

@String{j-LECT-NOTES-COMP-SCI   = "Lecture Notes in Computer Science"}

@String{j-LIGHTWAVE-TECHNOL     = "Journal of Lightwave Technology"}

@String{j-MATH-COMP-MODELLING   = "Mathematical and Computer Modelling"}

@String{j-MATH-MAG              = "Mathematics Magazine"}

@String{j-MEASUREMENT           = "Measurement: Journal of the International
                                  Measurement Confederation"}

@String{j-MICROELECT-J          = "Microelectronics Journal"}

@String{j-MICROELECT-RELIABILITY = "Microelectronics and Reliability"}

@String{j-MICROPROC-MICROPROG   = "Microprocessing and Microprogramming"}

@String{j-MICROPROC-MICROSYS    = "Microprocessors and Microsystems"}

@String{j-MONTHLY-NOT-ROY-ASTRON-SOC = "Monthly Notices of the Royal
                                  Astronomical Society"}

@String{j-NACH-ELEK             = "Nachrichtentechnik Elektronik"}

@String{j-NEUROCOMPUTING        = "Neurocomputing"}

@String{j-OPTIK                 = "Optik"}

@String{j-PARALLEL-COMPUTING    = "Parallel Computing"}

@String{j-PARALLEL-PROCESS-LETT = "Parallel Processing Letters"}

@String{j-PHYS-TODAY            = "Physics Today"}

@String{j-PROC-IEEE             = "Proceedings of the IEEE"}

@String{j-RCA-REV               = "RCA Review"}

@String{j-SCI-REP               = "Scientific Reports"}

@String{j-SIAM-J-SCI-STAT-COMP  = "SIAM Journal on Scientific and Statistical
                                  Computing"}

@String{j-SIAM-J-NUMER-ANAL     = "SIAM Journal on Numerical Analysis"}

@String{j-SIG-PROC              = "Signal Processing"}

@String{j-SIGNAL-PROCESS-IMAGE-COMMUN = "Signal Processing: Image
                                  Communication"}

@String{j-SYS-COMP-JAPAN        = "Systems and computers in Japan"}

@String{j-TECHNIQUE-SCI-INFORMATIQUES = "Technique et Science Informatiques"}

@String{j-TRETS                 = "ACM Transactions on Reconfigurable Technology
                                  and Systems"}

@String{j-UMAP                  = "The UMAP Journal"}

@String{j-Z-ANGE-MATH-MECH      = "{Zeitschrift f{\"u}r Angewandte
                                  Mathematik und Mechanik}"}

%%% ====================================================================
%%% Publishers and their addresses:
@String{pub-ACM                 = "ACM Press"}
@String{pub-ACM:adr             = "New York, NY 10036, USA"}

@String{pub-AFIPS               = "AFIPS Press"}
@String{pub-AFIPS:adr           = "Montvale, NJ, USA"}

@String{pub-AIP                 = "American Institute of Physics"}
@String{pub-AIP:adr             = "Woodbury, NY, USA"}

@String{pub-BIRKHAUSER          = "Birkh{\"{a}}user"}
@String{pub-BIRKHAUSER:adr      = "Cambridge, MA, USA; Berlin, Germany; Basel,
                                  Switzerland"}

@String{pub-BIRKHAUSER-BOSTON   = "Birkh{\"a}user Boston Inc."}
@String{pub-BIRKHAUSER-BOSTON:adr = "Cambridge, MA, USA"}

@String{pub-IEEE                = "IEEE Computer Society Press"}
@String{pub-IEEE:adr            = "1109 Spring Street, Suite 300, Silver
                                   Spring, MD 20910, USA"}

@String{pub-IBM                 = "IBM Corporation"}
@String{pub-IBM:adr             = "Armonk, NY, USA"}

@String{pub-MIT                 = "MIT Press"}
@String{pub-MIT:adr             = "Cambridge, MA, USA"}

@String{pub-MORGAN-KAUFMANN     = "Morgan Kaufmann Publishers"}
@String{pub-MORGAN-KAUFMANN:adr = "Los Altos, CA 94022, USA"}

@String{pub-OXFORD              = "Oxford University Press"}
@String{pub-OXFORD:adr          = "Walton Street, Oxford OX2 6DP, UK"}

@String{pub-PH                  = "Pren{\-}tice-Hall"}
@String{pub-PH:adr              = "Upper Saddle River, NJ 07458, USA"}

@String{pub-PRINCETON           = "Princeton University Press"}
@String{pub-PRINCETON:adr       = "Princeton, NJ, USA"}

@String{pub-SIAM                = "Society for Industrial and Applied
                                  Mathematics"}
@String{pub-SIAM:adr            = "Philadelphia, PA, USA"}

@String{pub-SPIE                = "Society of Photo-optical
                                  Instrumentation Engineers (SPIE)"}
@String{pub-SPIE:adr            = "Bellingham, WA, USA"}

@String{pub-SV                  = "Spring{\-}er-Ver{\-}lag"}
@String{pub-SV:adr              = "Berlin, Germany~/ Heidelberg,
                                  Germany~/ London, UK~/ etc."}

@String{pub-SV-CHAM             = "Spring{\-}er-Ver{\-}lag"}
@String{pub-SV-CHAM:adr         = "Cham, Switzerland"}

@String{pub-WILEY               = "Wiley"}
@String{pub-WILEY:adr           = "New York, NY, USA"}

%%% ====================================================================
%%% Series abbreviations:
@String{ser-LNCS                = "Lecture Notes in Computer Science"}

%%% ====================================================================
%%% Bibliography entries, sorted by year, and within each year, by
%%% citation label, with ``bibsort -byyear''.
@Book{Briggs:1624:ALL,
  author =       "Henry Briggs",
  title =        "Arithmetica Logarithmica. ({Latin}) [{Logarithmic}
                 arithmetic]",
  publisher =    "Excudebat Gulielmus Iones",
  address =      "London, UK",
  year =         "1624",
  bibdate =      "Mon Nov 10 08:25:38 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  note =         "This may be the earliest use of a CORDIC-like
                 algorithm for computing tables of logarithms, although
                 it seems to have been unknown to those who are later
                 credited with the CORDIC invention
                 \cite{Volder:1956:BCA,Bemer:1958:SMC,Volder:1959:CCT,Volder:1959:CTC,Walther:1971:UAE}.
                 See \cite{Roegel:2011:RTB} for an analyis and
                 description of Briggs' computational methods, and a
                 list of 120 publications about Briggs' tables",
  URL =          "http://www.17centurymaths.com;
                 https://archive.org/details/bub_gb_L88WAAAAQAAJ;
                 https://en.wikipedia.org/wiki/Henry_Briggs_(mathematician);
                 https://old.maa.org/press/periodicals/convergence/mathematical-treasure-iarithmetica-logarithmicai-of-henry-briggs;
                 https://www.google.com/books/edition/Arithmetica_logarithmica/L88WAAAAQAAJ?hl=en",
  acknowledgement = ack-nhfb,
  author-dates = "1 February 1561--26 January 1630",
  language =     "Latin",
  remark-1 =     "Cited briefly in \cite[page 75]{Andrews:1978:EFM}.
                 Other sources suggest years of 1616--1617. Denis Roegel
                 \cite{Roegel:2011:RTB} says that Briggs published in
                 1617 a 16-page booklet with a 15-page table of
                 14-digit base-10 logarithms of the integers from 1 to
                 1000. This 1624 publication continues that work,
                 supplying 14-digit logarithms of the integers 1 to
                 20000, and 90001 to 100000.",
  remark-2 =     "Full Latin title is \booktitle{Arithmetica
                 logarithmica, sive, Logarithmorum chiliades triginta:
                 pro numeris naturali serie crescentibus ab vnitate ad
                 20,000, et a 90,000 ad 100,000: quorum ope multa
                 perficiuntur arithmetica problemata et geometrica: hos
                 numeros primus invenit clarissimus vir Iohannes
                 Neperus, Baro Merchistonij: eos autem ex eiusdem
                 sententia mutavit / eorumque ortum et vsum illustravit
                 Henricus Briggius \ldots{}}. A machine translation is
                 \booktitle{Logarithmic arithmetic, or, Thirty thousand
                 logarithms: for natural numbers in series increasing
                 from unity to 20,000, and from 90,000 to 100,000: by
                 means of which many arithmetical and geometric problems
                 are accomplished: these numbers were first invented by
                 the most illustrious man John Neperus, Baron
                 Merchiston: but they were changed according to his own
                 opinion / and their origin and use were illustrated by
                 Henry Briggs \ldots{}}.",
}

@Article{Marx:1956:ABL,
  author =       "Helmut Marx",
  title =        "{Additionsverfahren zur Berechnung des Logarithmus und
                 der Exponentialfunktion (I)}. ({German}) [{Addition}
                 method for calculating the logarithm and the
                 exponential function ({I})]",
  journal =      "{Mitteilungen des Mathematischen Seminars der
                 Universit{\"a}t Gie{\ss}en}",
  number =       "54",
  pages =        "i + 26",
  day =          "??",
  month =        "????",
  year =         "1956",
  MRclass =      "68.0X",
  MRnumber =     "82745",
  bibdate =      "Mon Nov 10 11:53:20 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  language =     "German",
  remark-1 =     "From the MathSciNet review by D. H. Lehmer: ``$exp(x +
                 i y)$ can be computed to nearly 10 decimal places with
                 at most 131 additions.'' Until the full paper can be
                 located, it is uncertain whether Marx had any contact
                 with Volder, whose 1956 report was then still a
                 corporate secret.",
  remark-2 =     "Cited in \cite{Ercegovac:1973:REC}. According to
                 https://onlinebooks.library.upenn.edu/webbin/serial?id=mittmathsemgies,
                 only numbers 1--28 have been found and are available
                 online.",
  remark-3 =     "Were there later papers, II, III, \ldots{}?",
  xxjournal =    "Mitteilungen aus dem Mathematische Seminar Giessen",
}

@TechReport{Volder:1956:BCA,
  author =       "Jack E. Volder",
  title =        "Binary Computation Algorithms for Coordinate Rotation
                 and Function Generation",
  type =         "Internal report",
  number =       "IAR-1.148",
  institution =  "Convair Corporation",
  address =      "Ft. Worth, TX, USA",
  day =          "15",
  month =        jun,
  year =         "1956",
  bibdate =      "Tue Oct 28 09:41:06 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  remark =       "This is Volder's first report on the CORDIC
                 (COordinate Rotation DIgital Computer) algorithm. It
                 was not made public until a 1959 conference
                 presentation \cite{Volder:1959:CCT} and journal reprint
                 \cite{Volder:1959:CTC}.",
}

@Article{Bemer:1958:SMC,
  author =       "Robert W. Bemer",
  title =        "A Subroutine Method for Calculating Logarithms",
  journal =      j-CACM,
  volume =       "1",
  number =       "5",
  pages =        "5--8",
  month =        may,
  year =         "1958",
  CODEN =        "CACMA2",
  DOI =          "https://doi.org/10.1145/368819.368848",
  ISSN =         "0001-0782 (print), 1557-7317 (electronic)",
  ISSN-L =       "0001-0782",
  bibdate =      "Wed Jul 14 15:48:21 MDT 2004",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 http://dblp.uni-trier.de/db/journals/cacm/cacm1.html#Bemer58a;
                 http://portal.acm.org/;
                 https://www.math.utah.edu/pub/tex/bib/cacm1950.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Communications of the ACM",
  journal-URL =  "https://dl.acm.org/loi/cacm",
  oldlabel =     "Bemer58a",
  remark =       "Historical note: Bob Bemer was the inventor of the
                 ASCII character set.",
  XMLdata =      "ftp://ftp.informatik.uni-trier.de/pub/users/Ley/bib/records.tar.gz#journals/cacm/Bemer58a",
}

@Article{Daggett:1959:DBC,
  author =       "D. H. Daggett",
  title =        "Decimal--Binary Conversions in {CORDIC}",
  journal =      j-IRE-TRANS-ELEC-COMPUT,
  volume =       "EC-8",
  number =       "3",
  pages =        "335--339",
  month =        sep,
  year =         "1959",
  CODEN =        "IRELAO",
  DOI =          "https://doi.org/10.1109/TEC.1959.5222694",
  ISSN =         "0367-9950",
  ISSN-L =       "0367-9950",
  bibdate =      "Thu Sep 08 08:14:00 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  fjournal =     "IRE Transactions on Electronic Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5407885",
  keywords =     "Application software; Binary codes; Clocks; decimal
                 floating-point arithmetic; Digital arithmetic;
                 Equations; Goniometers; Shift registers",
}

@InProceedings{Volder:1959:CCT,
  author =       "Jack E. Volder",
  editor =       "????",
  booktitle =    "Proceedings of the Western Joint Computer Conference
                 1959",
  title =        "The {CORDIC} Computing Technique",
  publisher =    "????",
  address =      "????",
  pages =        "257--261",
  year =         "1959",
  bibdate =      "Tue Oct 28 09:26:32 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Reprinted in \cite{Volder:1959:CTC}. See also internal
                 report \cite{Volder:1956:BCA}",
  acknowledgement = ack-nhfb,
  keywords =     "aircraft navigation; Algorithms; Analog computers;
                 Arithmetic; Computer aided instruction; CORDIC
                 (COordinate Rotation DIgital Computer); CORDIC-I
                 navigation computer; CORDIC-II navigation computer;
                 Instruments; Polynomials; Programming profession;
                 Registers; Resumes; Switches",
}

@Article{Volder:1959:CTC,
  author =       "Jack E. Volder",
  title =        "The {CORDIC} Trigonometric Computing Technique",
  journal =      j-IRE-TRANS-ELEC-COMPUT,
  volume =       "EC-8",
  number =       "3",
  pages =        "330--334",
  month =        sep,
  year =         "1959",
  CODEN =        "IRELAO",
  DOI =          "https://doi.org/10.1109/TEC.1959.5222693",
  ISSN =         "0367-9950",
  ISSN-L =       "0367-9950",
  bibdate =      "Thu Sep 1 10:15:31 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "Reprint of \cite{Volder:1959:CCT}. See also internal
                 report \cite{Volder:1956:BCA}",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  fjournal =     "IRE Transactions on Electronic Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5407885",
  keywords =     "aircraft navigation; Algorithms; Analog computers;
                 Arithmetic; Computer aided instruction; CORDIC
                 (COordinate Rotation DIgital Computer); CORDIC-I
                 navigation computer; CORDIC-II navigation computer;
                 Instruments; Polynomials; Programming profession;
                 Registers; Resumes; Switches",
}

@Article{Wensley:1959:CNA,
  author =       "J. H. Wensley",
  title =        "A Class of Non-Analytical Iterative Processes",
  journal =      j-COMP-J,
  volume =       "1",
  number =       "4",
  pages =        "163--167",
  month =        jan,
  year =         "1959",
  CODEN =        "CMPJA6",
  DOI =          "https://doi.org/10.1093/comjnl/1.4.163",
  ISSN =         "0010-4620 (print), 1460-2067 (electronic)",
  ISSN-L =       "0010-4620",
  bibdate =      "Tue Dec 4 14:47:23 MST 2012",
  bibsource =    "Compiler/semantics.bib;
                 http://comjnl.oxfordjournals.org/content/1/4.toc;
                 http://www.math.utah.edu/pub/tex/bib/compj1950.bib;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://comjnl.oxfordjournals.org/content/1/4/163.full.pdf+html;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/010163.sgm.abs.html;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/tiff/163.tif;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/tiff/164.tif;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/tiff/165.tif;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/tiff/166.tif;
                 http://www3.oup.co.uk/computer_journal/hdb/Volume_01/Issue_04/tiff/167.tif",
  acknowledgement = ack-nhfb,
  fjournal =     "The Computer Journal",
  journal-URL =  "http://comjnl.oxfordjournals.org/",
  remark =       "The author describes digit-at-a-time algorithms for
                 divide, square root, fourth root, inverse cosine,
                 inverse tangent, inverse Jacobi elliptic function, and
                 logarithm.",
}

@InProceedings{Akushsky:1960:MSO,
  author =       "I. Y. Akushsky and L. B. Emelianow-Yaroslavsky and E.
                 A. Klyamko and V. S. Linsky and G. D. Monakhov",
  editor =       "????",
  booktitle =    "Information Processing, Proceedings of the
                 {International Conference on Information Processing,
                 UNESCO, Paris, 15--20 June 1959}",
  title =        "Methods of speeding-up the operation of digital
                 computers",
  publisher =    "UNESCO / R. Oldenbourg / Butterworths",
  address =      "Paris, France / Munich, West Germany / London, UK",
  pages =        "382--389 (or 382--388??)",
  year =         "1960",
  bibdate =      "Mon Nov 10 12:12:52 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://www.vldb.org/dblp/db/conf/ifip/ifip1959.html",
  acknowledgement = ack-nhfb,
  remark =       "Cited in \cite{Ercegovac:1973:REC} as work on
                 shift-add algorithms for function computation.",
}

@InProceedings{Maehly:1960:RAT,
  author =       "H. J. Maehly",
  editor =       "????",
  booktitle =    "Information Processing, Proceedings of the
                 {International Conference on Information Processing,
                 UNESCO, Paris, 15--20 June 1959}",
  title =        "Rational approximations for transcendental functions",
  publisher =    "UNESCO / R. Oldenbourg / Butterworths",
  address =      "Paris, France / Munich, West Germany / London, UK",
  pages =        "57--62",
  year =         "1960",
  bibdate =      "Mon Nov 10 12:12:52 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://www.vldb.org/dblp/db/conf/ifip/ifip1959.html",
  acknowledgement = ack-nhfb,
}

@TechReport{ASG:1962:TDF,
  author =       "{Advanced Systems Group}",
  title =        "Technical Description of Fix-taking Tie-in Equipment",
  type =         "Report",
  number =       "FZE-052",
  institution =  "General Dynamics Aerosystems",
  address =      "????",
  day =          "6",
  month =        aug,
  year =         "1962",
  bibdate =      "Tue Oct 28 09:28:52 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "This report records the successful passing of tests by
                 the CORDIC-II navigation computer, the second hardware
                 implementation for ground and aircraft use of the
                 CORDIC algorithm
                 \cite{Volder:1959:CCT,Volder:1959:CTC}.",
  acknowledgement = ack-nhfb,
  keywords =     "aircraft navigation; CORDIC (COordinate Rotation
                 DIgital Computer); CORDIC-I navigation computer;
                 CORDIC-II navigation computer",
}

@Article{Meggitt:1962:PDP,
  author =       "J. E. Meggitt",
  title =        "Pseudo Division and Pseudo Multiplication Processes",
  journal =      j-IBM-JRD,
  volume =       "6",
  number =       "2",
  pages =        "210--226",
  month =        apr,
  year =         "1962",
  CODEN =        "IBMJAE",
  DOI =          "https://doi.org/10.1147/rd.62.0210",
  ISSN =         "0018-8646 (print), 2151-8556 (electronic)",
  ISSN-L =       "0018-8646",
  bibdate =      "Thu Sep 1 10:15:31 1994",
  bibsource =    "http://www.research.ibm.com/journal/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ibmjrd.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5392356",
  ZMnumber =     "201.48709",
  acknowledgement = ack-nhfb,
  ajournal =     "IBM J. Res. Develop.",
  book-URL =     "http://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5288520",
  fjournal =     "IBM Journal of Research and Development",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5288520",
  keywords =     "binary arithmetic; decimal arithmetic; divide;
                 exponential; inverse square root; inverse tangent;
                 logarithm; multiply; square root; tangent",
  remark =       "This paper shows how common digit-at-a-time hardware
                 circuitry can be used to compute several different
                 functions, with the only difference among the functions
                 being initial conditions and stored tables of
                 particular constants, with an error than does not
                 exceed 3 units in the lowest-order decimal digit of the
                 result (assuming base-10 arithmetic). The steps are
                 similar to those in CORDIC algorithms. If M is the time
                 for one multiplication, then other functions are found
                 in these times: cosine (7M), division (3M?),
                 exponential (3M), inverse tangent (3M), logarithm (3M),
                 sine (7M), square root (3M?), and tangent (4M). For
                 $n$-digit numbers, the calculation requires two
                 registers, one of length $ n + 2 $ digits, and the
                 other of length $ 2 n + 2 $ digits.",
}

@TechReport{Senzig:1962:DDG,
  author =       "D. N. Senzig",
  title =        "Digit-By-Digit Generation of the Trigonometric and
                 Hyperbolic Functions",
  type =         "IBM Research Report",
  number =       "RC-860",
  institution =  pub-IBM,
  address =      pub-IBM:adr,
  day =          "17",
  month =        dec,
  year =         "1962",
  bibdate =      "Mon Nov 10 10:33:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
}

@Article{Sideus:1965:INB,
  author =       "G. Sideus",
  title =        "Inertial Navigation at a Bargain Price",
  journal =      "Electronics",
  volume =       "??",
  number =       "??",
  pages =        "108--112",
  day =          "17",
  month =        may,
  year =         "1965",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:35:25 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics",
  keywords =     "CORDIC algorithms",
}

@Article{Parini:1966:DGA,
  author =       "J. M. Parini",
  title =        "{Divic} Gives Answer to Complex Navigation Question",
  journal =      "Electronics",
  volume =       "??",
  number =       "??",
  pages =        "105--111",
  day =          "5",
  month =        sep,
  year =         "1966",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:37:39 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics",
  keywords =     "CORDIC algorithms",
}

@MastersThesis{Liccardo:1968:IPE,
  author =       "M. A. Liccardo",
  title =        "An Interconnect Processor with Emphasis on {CORDIC}
                 Mode",
  type =         "{Masters} thesis",
  school =       "Electrical Engineering Department, University of
                 California at Berkeley",
  address =      "Berkeley, CA, USA",
  year =         "1968",
  bibdate =      "Wed Oct 29 05:55:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@PhdThesis{Tung:1968:CAF,
  author =       "Chin Tung",
  title =        "A Combinational Arithmetic Function Generation
                 System",
  type =         "{Ph.D.} thesis",
  school =       "University of California, Los Angeles",
  address =      "Los Angeles, CA, USA",
  pages =        "230",
  year =         "1968",
  bibdate =      "Mon Nov 10 13:18:11 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://www.proquest.com/pqdtglobal/docview/302294704",
  acknowledgement = ack-nhfb,
  remark =       "Text not available online.",
}

@Article{Linhardt:1969:DDT,
  author =       "R. J. Linhardt and H. S. Miller",
  title =        "Digit-by-Digit Transcendental-Function Computation",
  journal =      j-RCA-REV,
  volume =       "30",
  number =       "2",
  pages =        "209--247",
  month =        jun,
  year =         "1969",
  CODEN =        "RCARCI",
  ISSN =         "0033-6831",
  bibdate =      "Fri Sep 16 10:31:06 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://www.worldradiohistory.com/ARCHIVE-RCA/RCA-Review/RCA-Review-1969-06.pdf",
  acknowledgement = ack-nj # " and " # ack-nhfb,
  ajournal =     "RCA Rev.",
  fjournal =     "RCA Review",
  journal-URL =  "https://www.worldradiohistory.com/ARCHIVE-RCA/RCA_Review_Issue_Key.htm",
}

@TechReport{DeLugish:1970:CAAa,
  author =       "Bruce Gene {De Lugish}",
  title =        "A Class of Algorithms for Automatic Evaluation of
                 Certain Elementary Functions in a Binary Computer",
  number =       "399",
  institution =  "Department of Computer Science, University of Illinois
                 at Urbana-Champaign",
  address =      "Urbana, Illinois",
  pages =        "191",
  year =         "1970",
  bibdate =      "Mon May 19 13:30:58 1997",
  bibsource =    "ftp://ftp.ira.uka.de/pub/bibliography/Techreports/Uiuc.Tr.bib.gz;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
}

@PhdThesis{DeLugish:1970:CAAb,
  author =       "Bruce Gene {De Lugish}",
  title =        "A Class of Algorithms for Automatic Evaluation of
                 Certain Elementary Functions in a Binary Computer",
  type =         "{Ph.D.} thesis",
  school =       "Department of Electrical Engineering, University of
                 Illinois at Urbana--Champaign",
  address =      "Urbana, IL, USA",
  pages =        "ix + 244",
  month =        jun,
  year =         "1970",
  bibdate =      "Mon Nov 10 10:24:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://www.proquest.com/pqdtglobal/docview/302409094/",
  acknowledgement = ack-nhfb,
  advisor =      "James E. Robertson",
}

@InProceedings{Walther:1971:UAE,
  author =       "J. S. Walther",
  title =        "A unified algorithm for elementary functions",
  crossref =     "AFIPS:1971:ACP",
  volume =       "38",
  pages =        "379--385",
  year =         "1971",
  bibdate =      "Thu Sep 1 10:15:31 1994",
  bibsource =    "garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  note =         "Reprinted in \cite{Walther:1972:UAE,Hwang:1979:CAP}.",
  acknowledgement = ack-nj,
  remark =       "This paper shows that the close relationship between
                 hyperbolic and trigonometric functions can be applied
                 to the original CORDIC algorithm for cosine and sine,
                 resulting in similar CORDIC formulas that permit
                 computation of exponential, logarithm, hyperbolic, and
                 trigonometric functions.",
}

@Article{Cochran:1972:AAH,
  author =       "D. S. Cochran",
  title =        "Algorithms and accuracy in the {HP-35}",
  journal =      j-HEWLETT-PACKARD-J,
  volume =       "23",
  number =       "10",
  pages =        "10--11",
  month =        jun,
  year =         "1972",
  CODEN =        "HPJOAX",
  ISSN =         "0018-1153",
  bibdate =      "Wed Mar 25 16:47:32 1998",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/hpj.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://www.hp.com/hpj/72jun/ju72a2.htm",
  acknowledgement = ack-nhfb,
  classcodes =   "C5420 (Mainframes and minicomputers)",
  fjournal =     "Hewlett-Packard Journal: technical information from
                 the laboratories of Hewlett-Packard Company",
  keywords =     "accuracy; CORDIC algorithms. electronic calculator;
                 minicomputers; resolution; sine algorithm",
  treatment =    "P Practical",
}

@Article{Fettweis:1972:PSS,
  author =       "A. Fettweis",
  title =        "Pseudopassivity, sensitivity, and stability of wave
                 digital filter",
  journal =      j-IEEE-TRANS-CIRCUIT-THEORY,
  volume =       "19",
  number =       "??",
  pages =        "668--673",
  month =        nov,
  year =         "1972",
  CODEN =        "IECTAF",
  DOI =          "",
  ISSN =         "0018-9324",
  bibdate =      "Wed Oct 29 11:32:06 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuit Theory",
  OPTfjournal =  "",
  OPTjournal-url = "",
  OPTkeywords =  "",
  OPTnote =      "",
  OPTremark =    "",
}

@InCollection{Walther:1972:UAE,
  author =       "J. S. Walther",
  editor =       "Orlando R. Petrocelli",
  booktitle =    "The Best Computer Papers in 1971",
  title =        "A Unified Algorithm for Elementary Functions",
  publisher =    "Auerbach Publishers",
  address =      "Princeton, NJ, USA",
  pages =        "69--81",
  year =         "1972",
  bibdate =      "Wed Oct 29 06:02:29 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Reprint of \cite{Walther:1971:UAE}.",
  acknowledgement = ack-nhfb,
}

@Article{Baker:1973:PAS,
  author =       "P. W. Baker",
  title =        "Predictive algorithms for some elementary functions in
                 radix 2",
  journal =      j-ELECT-LETTERS,
  volume =       "9",
  number =       "21",
  pages =        "493--494",
  month =        oct,
  year =         "1973",
  CODEN =        "ELLEAK",
  DOI =          "https://doi.org/10.1049/el:19730363",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  MRclass =      "68A10",
  MRnumber =     "57 \#18203",
  bibdate =      "Sat Jan 11 17:44:01 MST 1997",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220;
                 https://digital-library.theiet.org/journal/el",
}

@Article{Ercegovac:1973:REC,
  author =       "Milo{\v{s}} D. Ercegovac",
  title =        "Radix-16 Evaluation of Certain Elementary Functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-22",
  number =       "6",
  pages =        "561--566",
  month =        jun,
  year =         "1973",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1973.5009107",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Thu Sep 1 10:15:39 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith2/papers/ARITH2_Ercegovac.pdf;
                 https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5009107",
  acknowledgement = ack-nj # " and " # ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "ARITH-2",
}

@Book{Oppenheim:1973:DSP,
  author =       "Alan V. Oppenheim and Ronald W. Schafer",
  title =        "Digital Signal Processing",
  publisher =    pub-PH,
  address =      pub-PH:adr,
  pages =        "xiv + 585",
  year =         "1973",
  ISBN =         "0-13-214635-5",
  ISBN-13 =      "978-0-13-214635-7",
  LCCN =         "Q4C/Q4.4/495; TK5102.5 .O245",
  bibdate =      "Wed Oct 29 12:55:42 MDT 2025",
  bibsource =    "fsz3950.oclc.org:210/WorldCat;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  abstract =     "Covers the analysis and representation of
                 discrete-time signals and systems, including
                 discrete-time convolution, difference equations, the
                 z-transform, and the discrete-time Fourier transform.
                 Emphasis is placed on the similarities and distinctions
                 between discrete-time and continuous-time signals and
                 systems. Also covers digital network structures for
                 implementation for both recursive (infinite impulse
                 response) and nonrecursive (finite impulse response)
                 digital filters with four videocassettes devoted to
                 digital filter design for recursive and nonrecursive
                 filters. Concludes with a discussion of the fast
                 Fourier transform algorithm for computation of the
                 discrete Fourier transform.",
  acknowledgement = ack-nhfb,
  author-dates = "1937--",
  shorttableofcontents = "Introduction \\
                 Discrete-time signals and systems \\
                 The z-Transform \\
                 The discrete Fourier transform \\
                 Flow graph and matrix representation of digital filters
                 \\
                 Digital filter design techniques \\
                 Computation of the discrete Fourier transform \\
                 Discrete Hilbert transforms \\
                 Discrete random signals \\
                 Effects of finite register length in digital signal
                 processing \\
                 Homomorphic signal processing \\
                 Power spectrum estimation",
  subject =      "Signal theory (Telecommunication); Digital
                 electronics; Th{\'e}orie du signal
                 (T{\'e}l{\'e}communications); {\'E}lectronique
                 num{\'e}rique; Digital electronics; Signal theory
                 (Telecommunication)",
  tableofcontents = "1.01. Introduction \\
                 1.02. Discrete-time signals and systems (1) \\
                 1.03. Discrete-time signals and systems (2) \\
                 1.04. The discrete-time Fourier transform \\
                 1.05. Sampling, aliasing, and frequency response \\
                 1.06. Demonstrations of sampling, aliasing, and
                 frequency response \\
                 1.07. The z-transform \\
                 1.08. The inverse z-transform \\
                 1.09. Z-transform properties \\
                 1.10. The discrete Fourier series \\
                 1.11. The discrete Fourier transform \\
                 1.12. Circular convolution \\
                 1.13. Representation of linear digital networks \\
                 1.14. Network structures for infinite impulse response
                 (IIR) digital filters{\"o}{\"o} \\
                 1.15. Network structures for finite impulse response
                 (FIR) digital filters and parameter-quantitization
                 effects in digital filter structures \\
                 1.16. Design of IIR digital filters (1) \\
                 1.17. Design of IIR digital filters (2) \\
                 1.18. Examples of IIR filter design \\
                 1.19. Design of FIR digital filters \\
                 1.20. Computation of the discrete Fourier transform (1)
                 \\
                 1.21. Computation of the discrete Fourier transform (2)
                 \\
                 1.22. Computation of the discrete Fourier transform
                 (3)",
}

@Article{Pisarenko:1973:RHC,
  author =       "V. F. Pisarenko",
  title =        "The retrieval of harmonics from a covariance
                 function",
  journal =      "Geophys. J. Roy. Astronom. Soc.",
  volume =       "33",
  number =       "??",
  pages =        "347--366",
  month =        "????",
  year =         "1973",
  DOI =          "",
  bibdate =      "Wed Oct 29 13:04:43 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Schmid:1973:UDC,
  author =       "Hermann Schmid and A. Bogacki",
  title =        "Use decimal {CORDIC} for generation of many
                 transcendental functions",
  journal =      "EDN Magazine",
  volume =       "??",
  number =       "??",
  pages =        "64--73",
  day =          "20",
  month =        feb,
  year =         "1973",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:29:26 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  xxauthor =     "Hermann Schmid and A. Bogocki",
}

@Misc{Walther:1973:EFP,
  author =       "J. S. Walther",
  title =        "Elementary Floating Point {CORDIC} Function Processor
                 and Shifter",
  howpublished = "US Patent 3,766,370.",
  day =          "16",
  month =        oct,
  year =         "1973",
  bibdate =      "Wed Oct 29 05:59:20 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Filed 14 May 1971.",
  URL =          "https://patentimages.storage.googleapis.com/30/7f/a0/1201036cf71cea/US3766370.pdf",
  acknowledgement = ack-nhfb,
  pagecount =    "271",
  remark =       "See the quotation in remark-3 in entry
                 Walther:2000:SUC about the significance of this patent
                 for the computing industry.",
}

@Article{Despain:1974:FTC,
  author =       "Alvin M. Despain",
  title =        "{Fourier} Transform Computers Using {CORDIC}
                 Iterations",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-23",
  number =       "10",
  pages =        "993--1001",
  month =        oct,
  year =         "1974",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/T-C.1974.223800",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "65T40 68Q45 42A38",
  bibdate =      "Tue Jul 12 11:27:36 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1672393",
  ZMnumber =     "0287.65073",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Algorithms, array processor, computer arithmetic,
                 CORDIC, discrete Fourier transform (DFT), fast Fourier
                 transform (FFT), Fourier transform, function
                 generation, real-time transform, vector rotation.",
  ZBmath =       "3451421",
}

@Article{Hitotumatu:1974:CAT,
  author =       "Sin Hitotumatu",
  title =        "Complex arithmetic through {CORDIC}",
  journal =      "K{\=o}dai Math. Semin Rep.",
  volume =       "26",
  pages =        "176--186",
  year =         "1974/75",
  ISSN =         "0023-2599",
  MRclass =      "65E05",
  MRnumber =     "413450",
  MRreviewer =   "P. Brock",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://projecteuclid.org/euclid.kmj/1138846999",
  acknowledgement = ack-nhfb,
  fjournal =     "K{\=o}odai Mathematical Seminar Reports",
}

@Article{Hitotumatu:1974:NMC,
  author =       "Sin Hitotumatu",
  title =        "A new method for the computation of square root,
                 exponential, and logarithmic functions through
                 hyperbolic {CORDIC}",
  journal =      "Revue d'Analyse Num{\'e}rique et de la Th{\'e}orie de
                 l'Approximation",
  volume =       "3",
  number =       "2",
  pages =        "173--180",
  month =        "????",
  year =         "1974",
  ISSN =         "0301-9241",
  MRclass =      "65D20",
  MRnumber =     "381249",
  MRreviewer =   "L. Fox",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  ZMnumber =     "0355.65009",
  acknowledgement = ack-nhfb,
  ajournal =     "Rev. Anal. Num{\'e}r. Th{\'e}or. Approximation",
  fjournal =     "Revue d'Analyse Num{\'e}rique et de la Th{\'e}orie de
                 l'Approximation",
  keywords =     "65D20",
  ZBmath =       "3553625",
}

@Book{Schmid:1974:DC,
  author =       "Hermann Schmid",
  title =        "Decimal Computation",
  publisher =    pub-WILEY,
  address =      pub-WILEY:adr,
  pages =        "xi + 266",
  year =         "1974",
  ISBN =         "0-471-76180-X",
  ISBN-13 =      "978-0-471-76180-8",
  LCCN =         "QA75 .S34",
  bibdate =      "Wed Oct 29 08:20:50 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  remark =       "Reprinted in \cite{Schmid:1983:DC}.",
  subject =      "Binary-coded decimal system; Calculating-machines;
                 computation; CORDIC algorithms",
}

@Article{Baker:1975:MER,
  author =       "P. W. Baker",
  title =        "More efficient radix-2 algorithms for some elementary
                 functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-24",
  number =       "11",
  pages =        "1049--1054",
  month =        nov,
  year =         "1975",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/T-C.1975.224132",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "68A10",
  MRnumber =     "52 \#7193",
  MRreviewer =   "I. Kaufmann",
  bibdate =      "Tue Jul 12 07:57:58 MDT 2011",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1672725",
  acknowledgement = ack-nhfb # "\slash " # ack-nj,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
}

@Article{Baker:1975:PMA,
  author =       "P. W. Baker",
  title =        "Parallel Multiplicative Algorithms for Some Elementary
                 Functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-24",
  number =       "3",
  pages =        "322--325",
  month =        mar,
  year =         "1975",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/T-C.1975.224215",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Tue Jul 12 07:57:51 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1672808",
  abstract =     "This correspondence presents generalized higher radix
                 algorithms for some elementary functions which use fast
                 parallel $m$-bit multipliers where $ \mathrm {radix} =
                 2^m $. These algorithms are extensions of those
                 iterative schemes which are based on multiplications by
                 $ (1 + 2^{-i}) $ and the use of prestored values of $
                 \ln (1 + 2^{-i}) $ and $ \tan^{-1}(2^{-i}) $. The
                 particular functions under consideration are $ y / x $,
                 $ y / x^{1 / 2} $, $ y \exp (x) $, $ y + \ln (x) $, $
                 \sin (x) $ and $ \cos (x) $ [and hence $ \tan (x) $ ].
                 The extended algorithms rely on multiplication by $ (1
                 + \mathrm {dir}^{-k}) $ where $ \mathrm {dir} $, $ 0
                 \leq \mathrm {dir} $, is an $m$-bit integer. Using a
                 simple selection procedure for $ \mathrm {dir} $,
                 simulations show that $p$ (radix $r$) digits of a
                 function may be generated, on the average, in less than
                 $ p + 1 $ iterations.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  received =     "27 March 1973",
  remark =       "The final paragraph says: ``In order to obtain an
                 answer with $p$ correct digits in radix $ 2^m$, an
                 extra $ \log_2 ((p + 1) m)$ guard digits ought to be
                 used and $k$ should be taken to $ p + 2$ with the final
                 result rounded to $p$ digits.'' That corresponds to 5
                 to 7 extra bits in the four IEEE 754 binary formats.",
  revised =      "7 October 1974",
}

@PhdThesis{Burg:1975:MES,
  author =       "J. P. Burg",
  title =        "Maximum Entropy Spectral Analysis",
  type =         "{Ph.D.} thesis",
  school =       "Stanford University",
  address =      "Stanford, CA, USA",
  year =         "1975",
  bibdate =      "Wed Oct 29 10:38:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Gray:1975:NDF,
  author =       "A. H. {Gray, Jr.} and J. D. Markel",
  title =        "A normalized digital filter structure",
  journal =      j-IEEE-TRANS-ACOUST-SPEECH,
  volume =       "23",
  number =       "3",
  pages =        "268--277",
  month =        jun,
  year =         "1975",
  CODEN =        "IETABA",
  DOI =          "",
  ISSN =         "0096-3518",
  bibdate =      "Wed Oct 29 11:53:21 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Acoustics, Speech, and Signal
                 Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=29",
}

@Article{Hitotumatu:1975:CAT,
  author =       "Sin Hitotumatu",
  title =        "Complex arithmetic through {CORDIC}",
  journal =      "Kodai Mathematical Seminar Reports",
  number =       "26",
  pages =        "176--186",
  year =         "1975",
  DOI =          "https://doi.org/10.2996/kmj/1138846999",
  ISSN =         "0023-2599",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0303.65012",
  acknowledgement = ack-nhfb,
  ajournal =     "K{\=o}dai Math. Semin. Rep.",
  fjournal =     "Kodai Mathematical Seminar Reports",
  keywords =     "65D20,65G50,68W99",
  ZBmath =       "3474829",
}

@MastersThesis{Lem:1975:ICF,
  author =       "Albert G. Lem",
  title =        "Implementation of a {CORDIC} Function Generator",
  type =         "{M.S.E.} thesis",
  school =       "California Polytechnic State University",
  address =      "San Luis Obispo, CA, USA",
  pages =        "74",
  year =         "1975",
  ISBN-13 =      "979-88-417-3800-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/implementation-cordic-function-generator/docview/2703960112/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "W. E. McMorran",
  keywords =     "0405:Mathematics; 0537:Engineering; 0984:Computer
                 science; Computer science; COordinate Rotation DIgital
                 Computer; Engineering; Mathematics; Parallel digital
                 computing; Trigonometric relationships",
  ris-m1 =       "29425908",
}

@Article{Baker:1976:SFB,
  author =       "P. W. Baker",
  title =        "Suggestion for a fast binary sine\slash cosine
                 generator",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-25",
  number =       "11",
  pages =        "1134--1136",
  month =        nov,
  year =         "1976",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1976.1674566",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Tue Jul 12 06:24:55 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1674566",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "$\cos(x)$; $\sin(x)$; elementary function",
}

@Book{Smith:1976:MER,
  author =       "B. T. Smith and J. M. Boyle and J. J. Dongarra and B.
                 S. Garbow and Y. Ikebe and V. C. Klema and C. B.
                 Moler",
  title =        "Matrix Eigensystem Routines: {EISPACK} Guide",
  volume =       "6",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "vii + 387",
  year =         "1976",
  DOI =          "https://doi.org/10.1007/978-3-540-38004-7",
  ISBN =         "0-387-06710-8",
  ISBN-13 =      "978-0-387-06710-0",
  LCCN =         "QA193 .M37, QA267.A1 L43 no.6",
  bibdate =      "Mon Dec 13 15:15:20 1993",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/master.bib",
  series =       "Lecture Notes in Computer Science, Editors: G. Goos
                 and J. Hartmanis",
  URL =          "http://link.springer.com/10.1007/3-540-07546-1",
  acknowledgement = ack-nhfb,
  subject =      "EISPACK; EISPACK (Logiciel); Engineering and Applied
                 Sciences; Computer Science, general",
  tableofcontents = "1: Introduction \\
                 2: How to Use EISPACK \\
                 3: Validation of EISPACK \\
                 4: Execution Times for EISPACK \\
                 5: Certification and Availability of EISPACK \\
                 6: Differences Between the EISPACK Subroutines and the
                 Handbook Algol Procedures \\
                 7: Documentation and Source Listings",
}

@Article{Chen:1977:FCA,
  author =       "W. H. Chen and C. H. Smith and S. C. Fralick",
  title =        "A fast computational algorithm for the discrete cosine
                 transform",
  journal =      j-IEEE-TRANS-COMM,
  volume =       "COM-25",
  number =       "??",
  pages =        "1004--1009",
  month =        sep,
  year =         "1977",
  CODEN =        "IECMBT",
  DOI =          "",
  ISSN =         "0090-6778 (print), 1558-0857 (electronic)",
  ISSN-L =       "0090-6778",
  bibdate =      "Wed Oct 29 10:48:24 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Communications",
}

@Article{Ercegovac:1977:GHO,
  author =       "Milo{\v{s}} D. Ercegovac",
  title =        "A General Hardware-Oriented Method for Evaluation of
                 Functions and Computations in a Digital Computer",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-26",
  number =       "7",
  pages =        "667--680",
  month =        jul,
  year =         "1977",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1977.1674900",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Jul 11 21:56:56 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1674900",
  abstract =     "A parallel computational method, amenable for
                 efficient hardware-level implementation, is described.
                 It provides a simple and fast algorithm for the
                 evaluation of polynomials, certain rational functions
                 and arithmetic expressions, solving a class of systems
                 of linear equations, or performing the basic arithmetic
                 operations in a fixed-point number representation
                 system. The time required to perform the computation is
                 of the order of $m$ carry-free addition operations, $m$
                 being the number of digits in the solution. In
                 particular, the method is suitable for fast evaluation
                 of mathematical functions in hardware.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Arithmetic expressions; digital computer arithmetic;
                 E-method; evaluation of real-valued functions;
                 fixed-point representation; hardware-level
                 implementation; integral powers; linear systems;
                 on-line algorithms; parallel computation; polynomials;
                 rational functions; redundant number systems",
}

@Article{Nishimura:1977:ACH,
  author =       "Kazuo Nishimura",
  title =        "An algorithm to calculate {Hessenberg} matrix by
                 {CORDIC}",
  journal =      "Keio Math. Semin. Rep.",
  volume =       "2",
  number =       "2",
  pages =        "61--65",
  year =         "1977",
  ISSN =         "0388-3469",
  MRclass =      "65F15 (65F30) 65F30 15A21 65F3015-04",
  MRnumber =     "474743",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0391.15008; 0361.65031",
  acknowledgement = ack-nhfb,
  fjournal =     "Keio Mathematical Seminar Reports",
  ZBmath =       "3562375; 3606596",
}

@Article{Paige:1977:LSE,
  author =       "C. C. Paige and M. A. Saunders",
  title =        "Least Squares Estimation of Discrete Linear Dynamic
                 Systems Using Orthogonal Transformations",
  journal =      j-SIAM-J-NUMER-ANAL,
  volume =       "14",
  number =       "2",
  pages =        "180--193",
  month =        apr,
  year =         "1977",
  CODEN =        "SJNAAM",
  ISSN =         "0036-1429 (print), 1095-7170 (electronic)",
  ISSN-L =       "0036-1429",
  bibdate =      "Fri Oct 16 06:57:22 MDT 1998",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/siamjnumeranal.bib;
                 JSTOR database",
  acknowledgement = ack-nhfb,
  ajournal =     "SIAM J. Numer. Anal.",
  fjournal =     "SIAM Journal on Numerical Analysis",
  journal-URL =  "http://epubs.siam.org/sinum",
}

@Article{Steer:1977:DHS,
  author =       "Steer and Penstone",
  title =        "Digital Hardware for Sine-Cosine Function",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-26",
  number =       "12",
  pages =        "1283--1286",
  month =        dec,
  year =         "1977",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1977.1674791",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Cordic, function evaluation, peripheral processor,
                 trigonometric functions (sine-cosine).; Floating-point
                 arithmetic; Hardware; Iterative algorithms; Logic;
                 Logic gates; Mathematical models; Microcomputers; Read
                 only memory; Shift registers; Software",
}

@Article{Andrews:1978:PCA,
  author =       "Michael Andrews and Daniel E. Eggerding",
  title =        "A Pipelined Computer Architecture for Unified
                 Elementary Function Evaluation",
  journal =      j-COMPUT-ELECTR-ENG,
  volume =       "5",
  number =       "2",
  pages =        "189--202",
  month =        jun,
  year =         "1978",
  CODEN =        "CPEEBQ",
  DOI =          "https://doi.org/10.1016/0045-7906(78)90030-7",
  ISSN =         "0045-7906 (print), 1879-0755 (electronic)",
  ISSN-L =       "0045-7906",
  bibdate =      "Sat Oct 18 14:58:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://www.sciencedirect.com/science/article/abs/pii/0045790678900307",
  acknowledgement = ack-nhfb,
  fjournal =     "Computers and Electrical Engineering",
  keywords =     "arccos; arccosh; arccot; arcsin; arcsinh; CORDIC;
                 cosine; Digital Arithmetic; exp(x); Iterative
                 Algorithms; ln(x); Pipeline; sine; sqrt(x); Unified
                 Elementary Functions; x**y; x/y",
}

@Article{Eschenbach:1978:ECR,
  author =       "R. F. Eschenbach and B. M. Oliver",
  title =        "An Efficient Coordinate Rotation Algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-27",
  number =       "12",
  pages =        "1178--1180",
  month =        dec,
  year =         "1978",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1978.1675020",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Jul 11 08:13:33 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1970.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1675020",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "rotation",
}

@Article{Kropa:1978:CA,
  author =       "James C. Kropa",
  title =        "Calculator Algorithms",
  journal =      j-MATH-MAG,
  volume =       "51",
  number =       "2",
  pages =        "106--109",
  month =        mar,
  year =         "1978",
  CODEN =        "MAMGA8",
  DOI =          "https://doi.org/10.1080/0025570x.1978.11976688",
  ISSN =         "1930-0980",
  bibdate =      "Tue Nov 11 14:54:28 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Mathematics Magazine",
  journal-URL =  "http://www.maa.org/pubs/mathmag.html",
  keywords =     "CORDIC algorithms",
}

@Article{Despain:1979:VFF,
  author =       "Despain",
  title =        "Very {Fast Fourier Transform} Algorithms Hardware for
                 Implementation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-28",
  number =       "5",
  pages =        "333--341",
  month =        may,
  year =         "1979",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1979.1675363",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Arithmetic; CORDIC; Digital circuits; digital signal
                 processing; Discrete Fourier transforms; Fast Fourier
                 transforms; FFT; Fourier transform; Hardware;
                 Mathematical models; parallel processors; pipeline
                 processors; Pipelines; Program processors; Signal
                 processing algorithms; vector rotation.; Vectors",
}

@Book{Dongarra:1979:LUG,
  author =       "J. J. Dongarra and J. R. Bunch and C. B. Moler and G.
                 W. Stewart",
  title =        "{LINPACK} Users' Guide",
  publisher =    pub-SIAM,
  address =      pub-SIAM:adr,
  pages =        "320",
  year =         "1979",
  DOI =          "https://doi.org/10.1137/1.9781611971811",
  ISBN =         "0-89871-172-X (paperback)",
  ISBN-13 =      "978-0-89871-172-1 (paperback)",
  LCCN =         "QA76.73 .L22 L5 1979; QA184 .L56 1982; QA214 .L56
                 1979",
  bibdate =      "Mon Dec 13 15:18:20 1993",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/d/dongarra-jack-j.bib;
                 https://www.math.utah.edu/pub/bibnet/authors/m/moler-cleve-b.bib;
                 https://www.math.utah.edu/pub/bibnet/authors/s/stewart-gilbert-w.bib;
                 https://www.math.utah.edu/pub/bibnet/subjects/acc-stab-num-alg-2ed.bib;
                 https://www.math.utah.edu/pub/bibnet/subjects/acc-stab-num-alg.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fortran1.bib;
                 https://www.math.utah.edu/pub/tex/bib/gnu.bib;
                 https://www.math.utah.edu/pub/tex/bib/master.bib",
  abstract =     "The authors of this carefully structured guide are the
                 principal developers of LINPACK, a unique package of
                 Fortran subroutines for analyzing and solving various
                 systems of simultaneous linear algebraic equations and
                 linear least squares problems. This guide supports both
                 the casual user of LINPACK who simply requires a
                 library subroutine, and the specialist who wishes to
                 modify or extend the code to handle special problems.
                 It is also recommended for classroom work.",
  acknowledgement = ack-nhfb,
  GWS-number =   "B2",
  keywords =     "book, Cholesky decomposition, cond, downd, linpack,
                 lud, lud, math, nla, qrd, qrd, soft, software, survey,
                 svd, svd, upd, updating",
  subject =      "LINPACK (Computer system); Equations, Simultaneous;
                 Data processing; Matrices; Data processing; LINPACK
                 (Computer system); LINPACK (Syst{\`e}me d'ordinateur);
                 {\'E}quations simultan{\'e}es; Informatique",
  tableofcontents = "General matrices \\
                 Band matrices \\
                 Positive definite matrices \\
                 Positive definite band matrices \\
                 Symmetric indefinite matrices \\
                 Triangular matrices \\
                 Tridiagonal matrices \\
                 The Cholesky decomposition \\
                 The $QR$ decomposition \\
                 Updating $QR$ and Cholesky decompositions \\
                 The singular value decomposition \\
                 References \\
                 Basic linear algebra subprograms \\
                 Timing data \\
                 Program listings \\
                 BLA listings",
  xxauthor =     "J. J. Dongarra and C. B. Moler and J. R. Bunch and G.
                 W. Stewart",
}

@Article{Friedlander:1979:ELC,
  author =       "B. Friedlander and T. Kailath and M. Morf and L.
                 Ljung",
  title =        "Extended {Levinson} and {Chandrasekhar} equations for
                 general discrete time linear estimation problems",
  journal =      j-IEEE-TRANS-AUTOMAT-CONTR,
  volume =       "C-23",
  number =       "4",
  pages =        "653--659",
  month =        aug,
  year =         "1979",
  CODEN =        "IETAA9",
  DOI =          "",
  ISSN =         "0018-9286 (print), 1558-2523 (electronic)",
  ISSN-L =       "0018-9286",
  bibdate =      "Wed Oct 29 11:40:12 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Automatic Control",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=9",
}

@Book{Hwang:1979:CAP,
  author =       "Kai Hwang",
  title =        "Computer Arithmetic: Principles, Architecture, and
                 Design",
  publisher =    pub-WILEY,
  address =      pub-WILEY:adr,
  pages =        "xiii + 423",
  year =         "1979",
  ISBN =         "0-471-03496-7",
  ISBN-13 =      "978-0-471-03496-4",
  LCCN =         "TK7888.3 .H9",
  bibdate =      "Sat May 18 14:15:19 2002",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "Includes reprint of \cite{Walther:1971:UAE}.",
  acknowledgement = ack-nj,
  keywords =     "addition; CORDIC; division; elementary functions;
                 exponential; floating-point arithmetic; interval
                 arithmetic; logarithm; multiplication; number systems;
                 redundant number systems; residue number systems;
                 shift-and-add algorithms; square root; survey on
                 computer arithmetic; trigonometric functions",
}

@Article{Kailath:1979:DRM,
  author =       "T. Kailath and S. Y. Kung and M. Morf",
  title =        "Displacement ranks of matrices and linear equations",
  journal =      j-J-MATH-ANAL-APPL,
  volume =       "68",
  number =       "2",
  pages =        "395--407",
  month =        "????",
  year =         "1979",
  CODEN =        "JMANAK",
  DOI =          "",
  ISSN =         "0022-247X (print), 1096-0813 (electronic)",
  ISSN-L =       "0022-247X",
  bibdate =      "Wed Oct 29 11:38:19 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  ajournal =     "J. Math. Anal. Appl.",
  fjournal =     "Journal of Mathematical Analysis and Applications",
  journal-URL =  "http://www.sciencedirect.com/science/journal/0022247X",
}

@Article{Nishimura:1979:MSL,
  author =       "Kazuo Nishimura",
  title =        "A method to solve linear equation by {CORDIC}",
  journal =      "Keio Math. Semin. Rep.",
  volume =       "4",
  pages =        "61--67",
  year =         "1979",
  ISSN =         "0388-3469",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0403.65008",
  acknowledgement = ack-nhfb,
  fjournal =     "Keio Mathematical Seminar Reports",
  keywords =     "65F05,15A23",
  ZBmath =       "3626501",
}

@InProceedings{Schmidt:1979:MEL,
  author =       "R. Schmidt",
  editor =       "????",
  booktitle =    "{Proceedings of the RADC Spectral Estimation Workshop,
                 Rome, NY, 1979}",
  title =        "Multiple emitter locations and signal parameter
                 estimation",
  publisher =    "????",
  address =      "????",
  pages =        "243--258",
  year =         "1979",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 13:15:39 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Haviland:1980:CAPa,
  author =       "Gene L. Haviland and Al A. Tuszynski",
  title =        "A {CORDIC} Arithmetic Processor Chip",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-29",
  number =       "2",
  pages =        "68--79",
  month =        feb,
  year =         "1980",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1980.1675529",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
}

@Article{Haviland:1980:CAPb,
  author =       "G. L. Haviland and A. A. Tuszynski",
  title =        "A {CORDIC} Arithmetic Processor Chip",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "15",
  number =       "1",
  pages =        "4--15",
  month =        feb,
  year =         "1980",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/JSSC.1980.1051332",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "Application software; Arithmetic; CMOS technology;
                 Cost function; Equations; Hardware; Instruments;
                 Mathematics; Navigation; Read only memory",
  xxvolume =     "SC-15",
}

@Article{Kalman:1980:NAL,
  author =       "R. E. Kalman",
  title =        "A new approach to linear filtering and prediction
                 problems",
  journal =      "J. of Basic Engineering",
  volume =       "82D",
  number =       "??",
  pages =        "34--45",
  month =        mar,
  year =         "1980",
  DOI =          "",
  bibdate =      "Wed Oct 29 11:42:41 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Nave:1980:NDP,
  author =       "Rafi Nave and John F. Palmer",
  title =        "A numeric data processor",
  crossref =     "IEEE:1980:IIS",
  pages =        "108--109",
  year =         "1980",
  DOI =          "https://doi.org/10.1109/ISSCC.1980.1156144e",
  bibdate =      "Wed Sep 07 22:22:14 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://ieeexplore.ieee.org/document/1156144",
  acknowledgement = ack-nj,
  keywords =     "CORDIC algorithms; Intel 8086; Intel 8087; Intel
                 8088",
  remark =       "From the first page: ``This functionality is
                 implemented in HMOS on a single chip larger than 280
                 mils square containing the equivalent of over 65,000
                 devices. The internal evaluation stack requires more
                 than 700 bits of RAM and the microcode (including
                 constants) utilizes over 30,000 bits of ROM. This
                 amount of microcode was made possible by a four-state
                 ROM in HMOS. \ldots{} Performance was also enhanced by
                 a fast shifter (0 to 63 places in one clock), special
                 hardware for counting leading zeros and a hardware
                 module to implement the various rounding modes
                 specified in the proposed standard. \ldots{} The
                 transcendental functions are all computed with an error
                 of less than 3 units in the last place of Temporary
                 Real (80b[its]).''",
}

@InProceedings{Palmer:1980:IND,
  author =       "J. Palmer",
  title =        "The {Intel} 8087 Numeric Data Processor",
  crossref =     "Anonymous:1980:CPA",
  pages =        "174--181",
  year =         "1980",
  bibdate =      "Mon Sep 12 08:30:40 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ahmed:1981:SCS,
  author =       "H. M. Ahmed and M. Morf",
  editor =       "????",
  booktitle =    "Proceedings of the First International Conference on
                 {VLSI}, Edinburgh, Scotland, August 18--21, 1981",
  title =        "Synthesis and control of signal processing
                 architectures based on rotations",
  publisher =    "????",
  address =      "????",
  pages =        "??--??",
  year =         "1981",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:21:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ahmed:1981:VSA,
  author =       "H. M. Ahmed and M. Morf and D. T. L. Lee and P. H.
                 Ang",
  editor =       "????",
  booktitle =    "{Proceedings of 1981 ICASSP. Atlanta. GA, 1981}",
  title =        "A {VLSI} speech analysis chip set based on square-root
                 normalized ladder forms",
  publisher =    "????",
  address =      "????",
  pages =        "648--653",
  year =         "1981",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:23:36 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Gentleman:1981:MTS,
  author =       "W. M. Gentleman and H. T. Kung",
  editor =       "????",
  booktitle =    "{Proceedings of SPIE}",
  title =        "Matrix triangularization by systolic array",
  volume =       "298 (Real-time signal processing IV)",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "19--26",
  year =         "1981",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:50:40 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Ahmed:1982:HCC,
  author =       "Hassan M. Ahmed and Jean-Marc Delosme and Martin
                 Morf",
  title =        "Highly Concurrent Computing Structures for Matrix
                 Arithmetic and Signal Processing",
  journal =      j-COMPUTER,
  volume =       "15",
  number =       "1",
  pages =        "65--82",
  month =        jan,
  year =         "1982",
  CODEN =        "CPTRB4",
  DOI =          "https://doi.org/10.1109/MC.1982.1653828",
  ISSN =         "0018-9162 (print), 1558-0814 (electronic)",
  ISSN-L =       "0018-9162",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/computer1980.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 Parallel/Multi.bib",
  fjournal =     "Computer",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2",
}

@PhdThesis{Ahmed:1982:SPA,
  author =       "H. M. Ahmed",
  title =        "Signal Processing Algorithms and Architectures",
  type =         "{Ph.D.} thesis",
  school =       "Stanford University",
  address =      "Stanford, CA, USA",
  year =         "1982",
  bibdate =      "Tue Oct 28 06:26:27 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Andrews:1982:MMS,
  author =       "M. Andrews",
  title =        "Mathematical Microprocessor Software: a $ \sqrt {x} $
                 Comparison",
  journal =      j-IEEE-MICRO,
  volume =       "2",
  number =       "3",
  pages =        "63--79",
  month =        aug,
  year =         "1982",
  CODEN =        "IEMIDZ",
  DOI =          "https://doi.org/10.1109/MM.1982.290970",
  ISSN =         "0272-1732 (print), 1937-4143 (electronic)",
  ISSN-L =       "0272-1732",
  bibdate =      "Thu Dec 14 06:08:58 MST 2000",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeemicro.bib;
                 Science Citation Index database (1980--2000)",
  acknowledgement = ack-nj # " and " # ack-nhfb,
  ajournal =     "IEEE Micro",
  classcodes =   "C4130 (Interpolation and function approximation);
                 C6150G (Diagnostic, testing, debugging and evaluating
                 systems)",
  corpsource =   "Colorado State Univ., Fort Collins, CO, USA",
  fjournal =     "IEEE Micro",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=40",
  keywords =     "16-bit machines; 8-bit machine; accuracy; Chen method;
                 computer testing; Cordic method; direct method;
                 function approximation; hardware; Intel 8080; Newton
                 method; PDP-11/20; software requirements; speed;
                 square-roots",
  remark =       "This article compares instruction-level implementation
                 on the Intel 8080 and DEC PDP-11/20 of the square root
                 using five methods: direct, CORDIC, Chen's, and two
                 variations of Newton's iteration. The concluding
                 paragraph says: ``The conclusions are fairly obvious:
                 Even with the availability of hardware features most
                 suitable to any of the other methods, Newton's method
                 remains the technique of choice. Although the advent of
                 hardware multiple-bit-shift instructions will alter
                 this comparison somewhat, Newton's method, with optimal
                 initialization, will again prove to be the best when
                 hardware multiply\slash divide becomes generally
                 available.''",
  treatment =    "T Theoretical or Mathematical",
}

@Article{Friedlander:1982:LFA,
  author =       "B. Friedlander",
  title =        "Lattice filtering for adaptive signal processing",
  journal =      j-PROC-IEEE,
  volume =       "70",
  number =       "8",
  pages =        "829--867",
  month =        aug,
  year =         "1982",
  CODEN =        "IEEPAD",
  DOI =          "",
  ISSN =         "0018-9219 (print), 1558-2256 (electronic)",
  ISSN-L =       "0018-9219",
  bibdate =      "Wed Oct 29 11:44:22 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  ajournal =     "Proc. IEEE",
  fjournal =     "Proceedings of the IEEE",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5",
}

@InProceedings{Heller:1982:SNO,
  author =       "D. Heller and I. Ipsen",
  booktitle =    "{Proceedings of the Conference on Advanced Research in
                 VLSI}",
  title =        "Systolic Network for Orthogonal Equivalence
                 Transformations and Their Application",
  publisher =    pub-MIT,
  address =      pub-MIT:adr,
  pages =        "113--122",
  year =         "1982",
  bibdate =      "Wed Aug 24 10:36:23 2011",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/i/ipsen-ilse-c-f.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ORCID-numbers = "Ipsen, Ilse/0000-0001-5645-5854",
  SCOPUS-author-ID = "Ipsen, Ilse/6603710519",
}

@InProceedings{Kung:1982:TAM,
  author =       "S. Y. Kung",
  editor =       "????",
  booktitle =    "Proceedings of the International Symposium on
                 Mathematical Theory. Network Systems. Santa Monica,
                 {CA}, August 1981",
  title =        "A {Toeplitz} approximation method and some
                 applications",
  publisher =    "????",
  address =      "????",
  pages =        "262--266",
  year =         "1982",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:45:55 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Kung:1982:WSA,
  author =       "H. T. Kung",
  title =        "Why Systolic Architectures?",
  journal =      j-COMPUTER,
  volume =       "15",
  number =       "1",
  pages =        "37--46",
  month =        jan,
  year =         "1982",
  CODEN =        "CPTRB4",
  ISSN =         "0018-9162 (print), 1558-0814 (electronic)",
  ISSN-L =       "0018-9162",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/computer1980.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 Parallel/par.misc.bib",
  annote =       "Systolic architectures, which permit multiple
                 computations for each memory access, can speed
                 execution of compute-bound problems without increasing
                 I/O requirements. reconfigured to suit new
                 computational structures; however, this capability
                 places new demands on efficient architecture use. Note:
                 Kung also has a machine readable bibliography in Scribe
                 format which is also distributed with the MP biblio on
                 tape, best to request from Kung on the CMU `sam'
                 machine. Reproduced in Dharma P. Agrawal's (ed.)
                 ``Advanced Computer Architecture,'' IEEE, 1986, pp.
                 300-309. In order to achieve the simplicity and density
                 needed for effective VLSI design, Kung's strategy is to
                 optimize processor number, interconnection topology and
                 I/O structures for particular points in his space of
                 parallel algorithms. He defines a family of systolic
                 designs for computing various forms of the convolution
                 computation. This is a family of computations each
                 member of which generates a sequence of values formed
                 by taking a sum of products of values of corresponding
                 elements in two other sequences, according to some
                 indexing scheme. In this paper Kung also gives examples
                 of some of the ways the movement of data could be
                 organized: (1) Should vector elements be pre-loaded
                 into Processing Elements (PEs)? (2) Which way should
                 data move? Note that this is a two dimensional
                 pipelining strategy, so that the choice of data flow
                 direction has much more freedom than with simple linear
                 pipelines. Some of the organizations that Kung uses
                 are: square, hexagonal, and triangular arrays. Among
                 these schemes, the relative direction of data flow of
                 the two input vectors is another design parameter. (3)
                 Should information be broadcasted or shifted through
                 the network? (4) Which vectors should shift through the
                 PEs? Which should remain stationary in the PEs? Should
                 vector entries come in a temporally interleaved
                 fashion, and if so, at what relative rates? Each member
                 of this family of architectures has a particular
                 interprocessor communication structure that matches the
                 flow of data required by the underlying algorithms. It
                 is a wise choice to match this flow with particular
                 algorithms in mind; previous attempts at multiprocessor
                 parallelism have met with the problem of interprocessor
                 communication being a bottleneck. There are many
                 considerations in choosing the design parameters of a
                 systolic architecture. Probably the major factor is
                 that it is highly desirable to match the speed of
                 processing to the available I/O bandwidth. One way to
                 accomplish this goal is to make multiple use of each
                 input data item. This is done by either using
                 broadcasting with unlimited fan-in or by re-using each
                 value of a vector at each stage of a pipeline. Since it
                 is usually not possible to accurately estimate
                 available I/O bandwidth in a complex system, the hope
                 is to make the system modular to allow for adjustments
                 to this ratio. A surprising number of applications have
                 been found where systolic algorithms and architectures
                 lead to effective, highly parallel computing systems.
                 Among these are applications in signal and image
                 processing, matrix arithmetic, and non-numeric
                 applications.",
  fjournal =     "Computer",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2",
  keywords =     "Rhighnam, analysis, architecture, j-lb,
                 grecommended91, multiprocessors, parallel processing,
                 systolic arrays, VLSI, bmiya",
}

@InProceedings{Lee:1982:GCD,
  author =       "D. Lee and M. Morf",
  editor =       "????",
  booktitle =    "{ICASSP '82. IEEE International Conference on
                 Acoustics, Speech, and Signal Processing}",
  title =        "Generalized {CORDIC} for digital signal processing",
  volume =       "7",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1748--1751",
  year =         "1982",
  DOI =          "https://doi.org/10.1109/ICASSP.1982.1171404",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Contracts; Digital signal
                 processing; Information systems; Iterative algorithms;
                 Laboratories; Process control; Signal processing;
                 Signal processing algorithms; Very large scale
                 integration",
}

@Article{Bracewell:1983:DHT,
  author =       "R. N. Bracewell",
  title =        "{Discrete Hartley Transform}",
  journal =      j-J-OPT-SOC-AM,
  volume =       "73",
  number =       "12",
  pages =        "1832--1835",
  month =        dec,
  year =         "1983",
  CODEN =        "JOSAAH",
  ISSN =         "0030-3941",
  bibdate =      "Wed Oct 29 10:28:17 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://opg.optica.org/view_article.cfm?pdfKey=3525ca6f-bf7d-49c1-a39b962c2419210f_84262",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Optical Society of America",
  journal-URL =  "http://www.osapublishing.org/josa/browse.cfm",
}

@InProceedings{Delosme:1983:VIR,
  author =       "Jean-Marc Delosme",
  editor =       "????",
  booktitle =    "{ICASSP Proceedings of International Conference on
                 Acoustics, Speech and Signal Processing, Boston, MA,
                 14--16 April 1983}",
  title =        "{VLSI} implementation of rotations in
                 pseudo-{Euclidean} spaces",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "927--930",
  year =         "1983",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:56:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Deprettere:1983:SFP,
  author =       "Ed. F. Deprettere",
  editor =       "????",
  booktitle =    "{IEEE International Conference on ASSP. Boston, MA,
                 Vol. I, 1983}",
  title =        "Synthesis and fixed point implementation of pipelined
                 true orthogonal filters",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "217--220",
  year =         "1983",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:08:56 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Hanrot:1983:MOD,
  author =       "D. Hanrot and C. T. Mulles",
  editor =       "????",
  booktitle =    "{Proceedings of ICASSPBJ, Boston, MA, 1983}",
  title =        "A modular and orthogonal digital filter structure for
                 parallel processing",
  publisher =    "????",
  address =      "????",
  pages =        "623--626",
  year =         "1983",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:55:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "TO DO: Check spelling on second author name",
}

@Article{Kung:1983:HCA,
  author =       "S. Y. Kung and Yu Hen Hu",
  title =        "A highly concurrent algorithm and pipelined
                 architecture for solving {Toeplitz} systems",
  journal =      j-IEEE-TRANS-ACOUST-SPEECH,
  volume =       "31(I)",
  pages =        "66--76",
  month =        feb,
  year =         "1983",
  CODEN =        "IETABA",
  DOI =          "",
  ISSN =         "0096-3518",
  bibdate =      "Wed Oct 29 11:58:02 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Acoustics, Speech, and Signal
                 Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=29",
}

@InProceedings{McWhirter:1983:RLS,
  author =       "J. G. McWhirter",
  editor =       "????",
  booktitle =    "{Proceedings SPIE 431, Real Time Signal Processing VI,
                 1983}",
  title =        "Recursive least squares minimization using asystolic
                 array",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "105--112",
  year =         "1983",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 12:03:01 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Nave:1983:ITF,
  author =       "R. Nave",
  title =        "Implementation of Transcendental Functions on a
                 Numerics Processor",
  journal =      j-MICROPROC-MICROPROG,
  volume =       "11",
  number =       "3--4",
  pages =        "221--225",
  month =        mar # "--" # apr,
  year =         "1983",
  CODEN =        "MMICDT",
  DOI =          "https://doi.org/10.1016/0165-6074(83)90151-5",
  ISSN =         "0165-6074 (print), 1878-7061 (electronic)",
  ISSN-L =       "0165-6074",
  bibdate =      "Fri Dec 08 13:05:28 1995",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://ieeemilestones.ethw.org/w/images/8/8b/Nave_implenent_transcendental_algos_1983.pdf;
                 https://www.sciencedirect.com/science/article/pii/0165607483901515",
  acknowledgement = ack-nj,
  fjournal =     "Microprocessing and Microprogramming",
  journal-URL =  "https://www.sciencedirect.com/journal/microprocessing-and-microprogramming/issues",
}

@Article{Schelin:1983:CFA,
  author =       "Charles W. Schelin",
  title =        "Calculator function approximation",
  journal =      j-AMER-MATH-MONTHLY,
  volume =       "90",
  number =       "5",
  pages =        "317--325",
  month =        may,
  year =         "1983",
  CODEN =        "AMMYAE",
  DOI =          "https://doi.org/10.2307/2975781",
  ISSN =         "0002-9890 (print), 1930-0972 (electronic)",
  ISSN-L =       "0002-9890",
  MRclass =      "65D15 (65-03 65-04)",
  MRnumber =     "84h:65021",
  MRreviewer =   "J. Albrycht",
  bibdate =      "Wed Dec 3 17:17:33 MST 1997",
  bibsource =    "http://www.jstor.org/journals/00029890.htm;
                 https://www.math.utah.edu/pub/tex/bib/amermathmonthly1980.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://www.jstor.org/stable/2975781",
  acknowledgement = ack-nhfb,
  fjournal =     "American Mathematical Monthly",
  journal-URL =  "https://www.jstor.org/journals/00029890.htm",
  keywords =     "Computer Function Evaluation; CORDIC Scheme; tabular
                 function values",
}

@Book{Schmid:1983:DC,
  author =       "Hermann Schmid",
  title =        "Decimal computation",
  publisher =    "Robert E. Kreiger",
  address =      "Malabar, FL, USA",
  pages =        "xi + 266",
  year =         "1983",
  ISBN =         "0-89874-318-4",
  ISBN-13 =      "978-0-89874-318-0",
  LCCN =         "????",
  bibdate =      "Wed Oct 29 08:18:10 MDT 2025",
  bibsource =    "fsz3950.oclc.org:210/WorldCat;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  remark =       "Reprint of \cite{Schmid:1974:DC}.",
  subject =      "Binary-coded decimal system; Calculating-machines;
                 computation; CORDIC algorithms",
}

@InProceedings{Udo:1983:IOO,
  author =       "R. Udo and E. Deprettere and P. Dewilde",
  editor =       "????",
  booktitle =    "{IEEE EUSIPCO Proceedings of 2nd European Signal
                 Processing, Erlangen, Germany. Sept. 1983}",
  title =        "On the implementation of orthogonal and
                 orthogonalizing algorithms using pipelined {CORDIC}
                 architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "??--??",
  year =         "1983",
  bibdate =      "Wed Oct 29 13:20:38 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Volz:1983:CAA,
  author =       "H. V{\"o}lz",
  title =        "{CORDIC und {\"a}hnliche Algorithmen der elementaren
                 Funktionen mit besonderer Eignung f{\"u}r
                 Mikrorechner}. ({German}) [{CORDIC} and Similar
                 Algorithms for Elementary Functions with Particular
                 Aptitude for Microcomputers]",
  journal =      j-NACH-ELEK,
  volume =       "33",
  number =       "12",
  pages =        "506--510",
  month =        "????",
  year =         "1983",
  CODEN =        "NTELAP",
  ISSN =         "0323-4657",
  bibdate =      "Fri Sep 16 16:30:40 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nj,
  fjournal =     "Nachrichtentechnik Elektronik",
  language =     "German",
}

@InProceedings{Abruzzo:1984:ACA,
  author =       "J. Abruzzo",
  title =        "Applicability of {CORDIC} Algorithm to Arithmetic
                 Processing",
  crossref =     "Kirk:1984:CRE",
  pages =        "79--86",
  year =         "1984",
  bibdate =      "Thu Sep 01 11:21:05 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nj,
}

@InProceedings{Abuzzo:1984:ACA,
  author =       "J. Abuzzo",
  editor =       "????",
  booktitle =    "{IEEE Eighteenth Asilomar Conf. on Circuits, Systems
                 and Computers, Pacific Grove, CA, USA, November 5--7,
                 1984}",
  title =        "Applicability of {CORDIC} algorithm to arithmetic
                 processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "79--86",
  year =         "1984",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:19:20 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Deprettere:1984:PCA,
  author =       "E. Deprettere and P. Dewilde and R. Udo",
  booktitle =    "{ICASSP '84. IEEE International Conference on
                 Acoustics, Speech, and Signal Processing}",
  title =        "Pipelined {CORDIC} architectures for fast {VLSI}
                 filtering and array processing",
  volume =       "9",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "250--253",
  year =         "1984",
  DOI =          "https://doi.org/10.1109/ICASSP.1984.1172772",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Array signal
                 processing; Circuits; Computer architecture; Filtering;
                 Paper technology; Pipeline processing; Signal
                 processing algorithms; Vectors; Very large scale
                 integration",
  xxpages =      "41.A.6.1--41.A.6.4",
}

@InProceedings{Dewilde:1984:ODF,
  author =       "P. Dewilde and E. F. Deprettere and C. V. K.
                 Prabhakara Rao",
  editor =       "????",
  booktitle =    "{Proceedings ICASSP84, Vol. I., San Diego, CA. 1984}",
  title =        "Orthogonal digital filters",
  publisher =    "????",
  address =      "????",
  pages =        "230--233",
  year =         "1984",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:23:22 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Lev-Ari:1984:LFP,
  author =       "H. Lev-Ari and T. Kailath",
  title =        "Lattice filter parameterizations and modeling of
                 nonstationary process",
  journal =      j-IEEE-TRANS-INF-THEORY,
  volume =       "IT-30",
  number =       "??",
  pages =        "2--16",
  month =        "????",
  year =         "1984",
  CODEN =        "IETTAW",
  DOI =          "",
  ISSN =         "0018-9448 (print), 1557-9654 (electronic)",
  ISSN-L =       "0018-9448",
  bibdate =      "Wed Oct 29 12:01:22 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Information Theory",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=18",
}

@PhdThesis{Naseem:1984:IPC,
  author =       "Asif Naseem",
  title =        "Implementation of Parallel Computational Algorithms on
                 a Modified {CORDIC} Arithmetic Logic Unit",
  type =         "{Ph.D.} thesis",
  school =       "Michigan State University",
  address =      "East Lansing, MI, USA",
  pages =        "137",
  year =         "1984",
  ISBN-13 =      "979-84-13-17501-9",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/implementation-parallel-computational-algorithms/docview/303294026/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "8503253",
}

@InProceedings{Naseem:1984:MCA,
  author =       "Asif Naseem and P. David Fisher",
  editor =       "????",
  booktitle =    "Proceedings --- {IEEE International Conference on
                 Computer Design: VLSI in Computers, ICCD '84, October
                 1984}",
  title =        "Modified {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "144--152",
  year =         "1984",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:31:59 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "Not found in IEEE Xplore database.",
}

@Article{Rao:1984:ODF,
  author =       "S. K. Rao and T. Kailath",
  title =        "Orthogonal digital filters for {VLSI} implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "31",
  number =       "11",
  pages =        "933--945",
  month =        nov,
  year =         "1984",
  DOI =          "",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Wed Oct 29 13:08:47 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
}

@InProceedings{Sibul:1984:ACR,
  author =       "Leon H. Sibul and A. L. Fogelsanger",
  editor =       "????",
  booktitle =    "{Proceedings of IEEE International Symposium on
                 Circuits and Systems, Montreal, Quebec, May 1984}",
  title =        "Application of coordinate rotation algorithm to
                 singular value decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "821--824",
  year =         "1984",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 13:16:52 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Wold:1984:PPP,
  author =       "Erling H. Wold and Alvin M. Despain",
  title =        "Pipeline and Parallel-Pipeline {FFT} Processors for
                 {VLSI} Implementations",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-33",
  number =       "5",
  pages =        "414--426",
  month =        may,
  year =         "1984",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1984.1676458",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "CORDIC; Discrete Fourier transforms; fast Fourier
                 transform; integrated circuits; parallel processors;
                 Pins; pipeline processors; Pipelines; Program
                 processors; Random access memory; Read only memory;
                 Shift registers; signal processing; Signal processing
                 algorithms; Transforms; Very large scale integration",
}

@Book{Ahmed:1985:AAU,
  author =       "H. M. Ahmed",
  title =        "Alternative arithmetic unit architectures for {VLSI}
                 digital signal processors",
  publisher =    "Prentice-Hall",
  address =      "Englewood Cliffs, NJ, USA",
  pages =        "",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:25:39 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Brent:1985:CSV,
  author =       "R. P. Brent and F. T. Luk and C. F. Van Loan",
  title =        "Computation of the singular value decomposition using
                 mesh-connected processors",
  journal =      j-J-VLSI-COMPUT-SYST,
  volume =       "1",
  number =       "3",
  pages =        "242--270",
  month =        "????",
  year =         "1985",
  ISSN =         "0733-5644",
  ISSN-L =       "0733-5644",
  bibdate =      "Sat Nov 01 07:06:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Comput. Syst.",
  fjournal =     "Journal of VLSI and Computer Systems",
  remark =       "The journal published only two volumes (1983--1986).
                 No online archive has been found.",
}

@Article{Brent:1985:SSV,
  author =       "Richard P. Brent and Franklin T. Luk",
  title =        "The solution of singular-value and symmetric
                 eigenvalue problems on multiprocessor arrays",
  journal =      j-SIAM-J-SCI-STAT-COMP,
  volume =       "6",
  number =       "1",
  pages =        "69--84",
  month =        jan,
  year =         "1985",
  CODEN =        "SIJCD4",
  DOI =          "https://doi.org/10.1137/0906007",
  ISSN =         "0196-5204",
  ISSN-L =       "0196-5204",
  MRclass =      "65W05 (65F15)",
  MRnumber =     "86i:65089",
  MRreviewer =   "Youcef Saad",
  bibdate =      "Mon Mar 31 09:59:28 MDT 2014",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/siamjscistatcomp.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See simplifications in \cite{Yang:1989:RCS}",
  acknowledgement = ack-nhfb,
  ajournal =     "SIAM J. Sci. Statist. Comput.",
  classification = "C4140 (Linear algebra); C5220 (Computer
                 architecture)",
  corpsource =   "Centre for Math. Analysis, Australian Nat. Univ.,
                 Canberra, ACT, Australia",
  fjournal =     "SIAM Journal on Scientific and Statistical Computing",
  journal-URL =  "http://epubs.siam.org/loi/sijcd4",
  keywords =     "computer architecture; eigenvalue decomposition;
                 eigenvalues and eigenfunctions; linear array; matrix
                 algebra; multiprocessor arrays; nearest-neighbour
                 communication; parallel Jacobi-like algorithms;
                 parallel processing; singular-value decomposition;
                 square array; symmetric eigenvalue problems; symmetric
                 matrix",
  onlinedate =   "January 1985",
  treatment =    "T Theoretical or Mathematical",
}

@InProceedings{Deprettere:1985:DHT,
  author =       "Ed F. Deprettere and Kishan Jainandunsing",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Kyoto, Japan. June 1985}",
  title =        "Design of a High Throughput Architecture for the
                 Regular Excitation Reduction Speech Coding Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1521--1524",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:34:37 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "Not found in IEEE Xplore database.",
}

@InProceedings{Deprettere:1985:DIC,
  author =       "Ed F. Deprettere and Kishan Jainandunsing",
  editor =       "????",
  booktitle =    "Proceedings --- {ICASSP 85, Tampa, FL, March 1985}",
  title =        "Design and Implementation of a Concurrent Solver for
                 {$N$} Coupled Least-Squares Fitting Problem",
  publisher =    "????",
  address =      "????",
  pages =        "200--203",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:36:04 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Dewilde:1985:PPV,
  author =       "P. Dewilde and Ed. F. Deprettere and R. Nouta",
  editor =       "S. Y. Kung and others",
  booktitle =    "{VLSI} and Modern Signal Processing",
  title =        "Parallel and pipelined {VLSI} Implementation of signal
                 processing algorithms",
  publisher =    pub-PH,
  address =      pub-PH:adr,
  pages =        "??--??",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:25:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@MastersThesis{Given:1985:VNI,
  author =       "Raymond E. Given",
  title =        "A {VLSI} {NMOS} Implementation of a Building Block
                 Processor Using {CORDIC} Algorithms (Array Processor)",
  type =         "{M.S.E.} thesis",
  school =       "Florida Atlantic University",
  address =      "Boca Raton, FL, USA",
  pages =        "127",
  year =         "1985",
  ISBN =         "1-0835-9942-9",
  ISBN-13 =      "978-1-0835-9942-1",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/vlsi-nmos-implementation-building-block-processor/docview/220053644/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "1325643",
}

@InProceedings{Hahn:1985:CRP,
  author =       "Helmut Hahn and J. Buddefeld and Bedrich J. Hosticka
                 and U. Kleine",
  editor =       "????",
  booktitle =    "Proceedings of the {1985 European Conference on
                 Circuit Theory and Design, Prague, Czechoslovakia,
                 September 1985}",
  title =        "{CORDIC} Realization of Power-Wave Digital Filters",
  publisher =    "????",
  address =      "????",
  pages =        "507--510",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:29:15 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Hu:1985:PCA,
  author =       "Yu Hen Hu",
  editor =       "????",
  booktitle =    "{International Symposium VLSI Technology Systems, and
                 Algorithms, Taipei, Taiwan, May 1985}",
  title =        "Pipelined {CORDIC} architecture for the implementation
                 of rotation-based algorithms",
  publisher =    "????",
  address =      "????",
  pages =        "??--??",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:01:19 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Jou:1985:CIP,
  author =       "I-Chang Jou and Tze-Yunn Sung and Yu-Hen Hu and
                 Ttai-Ming Parng",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Kyoto, Japan. June 1985}",
  title =        "{CORDIC} implementation of pipelined {Toeplitz} system
                 solver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "459--462",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:34:04 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Muller:1985:DBC,
  author =       "Jean-Michel Muller",
  title =        "Discrete Basis and Computation of Elementary
                 Functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-34",
  number =       "9",
  pages =        "857--862",
  month =        sep,
  year =         "1985",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1985.1676643",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "65D20 (65V05)",
  MRnumber =     "87e:65016",
  MRreviewer =   "D. Zwick",
  bibdate =      "Sun Jul 10 08:33:33 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1980.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1676643",
  abstract =     "We give necessary and sufficient conditions in order
                 that the infinite product or sum of the terms of a
                 positive decreasing sequence generates the reals in a
                 given interval.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Additives; Approximation algorithms; Computers;
                 Convergence; CORDIC-like algorithms, hardware
                 computation of elementary functions; Delays; Electrons;
                 Hardware; Iterative methods; Logic gates;
                 representation of real numbers by infinite series;
                 Sufficient conditions",
}

@InProceedings{Naseem:1985:MCA,
  author =       "Asif Naseem and P. David Fisher",
  booktitle =    "{1985 IEEE 7th Symposium on Computer Arithmetic
                 (ARITH)}",
  title =        "The Modified {CORDIC} Algorithm",
  crossref =     "Hwang:1985:PSC",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "144--152",
  year =         "1985",
  DOI =          "https://doi.org/10.1109/ARITH.1985.6158966",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith7/papers/ARITH7_Naseem_Fisher.pdf",
  abstract =     "A Modified CORDIC Algorithm (MCA) has been developed
                 for the evaluation of elementary arithmetic functions.
                 MCA incorporates increased parallelism over the
                 original CORDIC algorithm, thus, resulting in an
                 enhanced speed of computation. This has been
                 accomplished by decoupling the CORDIC iteration
                 equations, and transforming the sequential nature of
                 these equations. $n$-bit fixed point data operands are
                 assumed and the parameter $k$ relates to the level of
                 parallelism in the algorithm. The modified algorithm
                 employs a $ [k + 1]n^2 $-bit ROM for lookup tables that
                 enable elementary arithmetic functions to be evaluated
                 in no more than $ [3 n + 2] $ and no less than $2$ time
                 steps. The two bounds correspond to a pipelined and a
                 parallel implementation, respectively. The formulation
                 of the MCA can be manipulated to obtain implementations
                 with various speed\slash cost characteristics. This
                 compares to $ n(3 n + 1) / 2 $ time steps for the
                 original CORDIC algorithm. For example, 32-bit ALU has
                 $ k = 12 $ and $ n = 32 $; so, a 13,312-bit ROM is
                 required to store the lookup tables, and the
                 computation requires 98 time steps for a pipelined
                 implementation.",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  keywords =     "Algorithm design and analysis; ARITH-7; Equations;
                 Parallel algorithms; Prediction algorithms; Timing;
                 Vectors",
}

@InProceedings{Sung:1985:DPC,
  author =       "Tze-Yun Sung Sung and Yu Hen Hu and H. J. Yu",
  editor =       "????",
  booktitle =    "{Proceedings of the Twenty-third Annual Allerton
                 Conference on Communication, Control, and Computing,
                 Monticello, IL, October 1985}",
  title =        "Doubly pipelined {CORDIC} array processor for solving
                 {Toeplitz} systems",
  publisher =    "????",
  address =      "????",
  pages =        "764--773",
  year =         "1985",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 12:46:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@MastersThesis{Tran:1985:ECF,
  author =       "Chau Quang Tran",
  title =        "Evaluation of {CORDIC} in {FFT} algorithm with 16-bit
                 microprocessors ({Fast Fourier Transform})",
  type =         "{M.S.} thesis",
  school =       "California State University, Long Beach",
  address =      "Long Beach, CA, USA",
  pages =        "145",
  year =         "1985",
  ISBN-13 =      "979-82-05-83141-3",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/evaluation-cordic-fft-algorithm-with-16-bit/docview/303402726/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0607:Electromagnetics; Electromagnetics;
                 Electromagnetism; Pure sciences",
  ris-m1 =       "1327232",
}

@Article{Vaidyanathan:1985:UAO,
  author =       "P. P. Vaidyanathan",
  title =        "A unified approach to orthogonal digital filters and
                 wave digital tilters based on the {LBR} two-pair
                 extraction",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST,
  volume =       "??",
  number =       "??",
  pages =        "673--686",
  month =        jul,
  year =         "1985",
  CODEN =        "ICSYBT",
  DOI =          "",
  ISSN =         "0098-4094 (print), 1558-1276 (electronic)",
  ISSN-L =       "0098-4094",
  bibdate =      "Wed Oct 29 13:23:49 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems",
}

@Article{vanGinderdeuren:1985:CBH,
  author =       "J. van Ginderdeuren and L. van Paepegem and J. Lecoco
                 and R. Govaerts and F. Catthoor and P. Vandebroek and
                 S. Slock and T. A. C. M. Claasen and H. de Man",
  title =        "{CORDIC}-Based {HIFI} Digital {FM} Demodulator
                 Algorithm for Compact {VLSI} Implementation",
  journal =      j-ELECT-LETTERS,
  volume =       "21",
  number =       "??",
  pages =        "1227--1229",
  month =        "????",
  year =         "1985",
  CODEN =        "ELLEAK",
  DOI =          "",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Nov 12 08:39:15 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
}

@InProceedings{Baxter:1986:PTF,
  author =       "Michael Baxter and Zwie Amitai",
  editor =       "????",
  booktitle =    "Midcon 86, Dallas, {TX}, September 1986, Pap. 17.2, 5p
                 and Northcon 86, Seattle, {WA}, September 1986",
  title =        "Parallel Transcendental-Function Processor Built from
                 {LSI} Building Blocks",
  publisher =    "????",
  address =      "????",
  pages =        "4.2.1--4.2.4",
  year =         "1986",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:41:47 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Bu:1986:OSC,
  author =       "J. Bu and E. F. A. Deprettere and F. De-Lange",
  editor =       "????",
  booktitle =    "{Proceedings of EUSIPCO-86 Signal Processing III,
                 Theories and Applications. The Hague, Netherlands, 2--5
                 September, 1986}",
  title =        "On the optimization of silicon {CORDIC} algorithm",
  publisher =    "????",
  address =      "????",
  pages =        "1227--1230",
  year =         "1986",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:35:17 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Curtis:1986:CPL,
  author =       "T. W. Curtis and Paul Allison and James A. Howard",
  title =        "A {CORDIC} Processor for Laser Trimming",
  journal =      j-IEEE-MICRO,
  volume =       "6",
  number =       "3",
  pages =        "61--71",
  month =        may # "\slash " # jun,
  year =         "1986",
  CODEN =        "IEMIDZ",
  DOI =          "https://doi.org/10.1109/MM.1986.304680",
  ISSN =         "0272-1732 (print), 1937-4143 (electronic)",
  ISSN-L =       "0272-1732",
  bibdate =      "Thu Sep 08 00:48:52 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  fjournal =     "IEEE Micro",
  journal-URL =  "http://www.computer.org/csdl/mags/mi/index.html",
  keywords =     "Computer errors; Computer interfaces; Control systems;
                 Error correction; Laser beam cutting; Optical control;
                 Weight control",
}

@InProceedings{Delosme:1986:MEA,
  author =       "Jean-Marc Delosme",
  editor =       "????",
  booktitle =    "Proceedings of the {SPIE} Advanced Algorithms and
                 Architectures for Signal Processing",
  title =        "The matrix exponential approach to elementary
                 operations",
  volume =       "696",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "188--1995",
  year =         "1986",
  bibdate =      "Wed Oct 29 07:25:00 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Jou:1986:VAP,
  author =       "I. C. Jou and Yu Hen Hu and T. M. Parng",
  editor =       "????",
  booktitle =    "{Proceedings of VLSI Algorithms and Architectures.
                 Aegean Workshop on Computing, Loutraki, Greece, July
                 1986}",
  title =        "{VLSI} algorithms and pipelined architectures for
                 solving structured linear systems",
  publisher =    "????",
  address =      "????",
  pages =        "??--??",
  year =         "1986",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:36:42 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Quong:1986:FPI,
  author =       "D. Quong",
  title =        "Floating-Point {$ \mu $P} Implements High-Speed Math
                 Functions",
  journal =      "EDN Magazine",
  volume =       "31",
  number =       "??",
  pages =        "143--150",
  month =        "????",
  year =         "1986",
  DOI =          "",
  bibdate =      "Wed Nov 12 08:53:42 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Schmidt:1986:POC,
  author =       "G. Schmidt and Dirk Timmermann and J. F. B{\"o}hme and
                 Helmut Hahn and Bedrich J. Hostica and G. Zimmer",
  editor =       "????",
  booktitle =    "{Proceedings of EUSIPCO-86 Signal Processing III,
                 Theories and Applications. The Hague, Netherlands, 2--5
                 September, 1986}",
  title =        "Parameter Optimization of the {CORDIC}-Algorithm and
                 Implementation in a {CMOS}-Chip",
  publisher =    "????",
  address =      "????",
  pages =        "1219--1222",
  year =         "1986",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:56:02 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sung:1986:DIV,
  author =       "Tze-Yun Sung and Tai-Ming Parng and Yu-Hen Hu and
                 Pin-Kuan Chou",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 San Jose, CA, May 1986}",
  title =        "Design and implementation of a {VLSI CORDIC}
                 processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "934--935",
  year =         "1986",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 12:48:29 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sung:1986:DPC,
  author =       "Tze-Yun Sung and Yu-Hen Hu and H. J. Yu",
  booktitle =    "{ICASSP '86. IEEE International Conference on
                 Acoustics, Speech, and Signal Processing}",
  title =        "Doubly pipelined {CORDIC} array for digital signal
                 processing algorithms",
  volume =       "11",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1169--1172",
  year =         "1986",
  DOI =          "https://doi.org/10.1109/ICASSP.1986.1169138",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Digital signal processing; Discrete Fourier
                 transforms; Fast Fourier transforms; Filters; Hardware;
                 Lattices; Pipeline processing; Signal processing
                 algorithms; Throughput; Very large scale integration",
}

@Article{Takagi:1986:HAC,
  author =       "Naofumi Takagi and T. Asada and S. Yajima",
  title =        "A Hardware Algorithm for Computing Sine and Cosine
                 Using Redundant Binary Representation",
  journal =      "Transactions IEEE Japan",
  volume =       "J69-D",
  number =       "??",
  pages =        "841--847",
  month =        "????",
  year =         "1986",
  DOI =          "",
  bibdate =      "Wed Nov 12 08:59:45 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Cavallaro:1987:ACS,
  author =       "Joseph R. Cavallaro and F. T. Luk",
  editor =       "????",
  booktitle =    "{Proceedings SPIE Int. Soc. Opt. Eng. (USA)}",
  title =        "Architectures for a {CORDIC SVD} processor",
  volume =       "698",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "45--53",
  year =         "1987",
  DOI =          "",
  bibdate =      "Wed Oct 29 10:39:35 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Cavallaro:1987:CAS,
  author =       "Joseph R. Cavallaro and Franklin T. Luk",
  booktitle =    "{1987 IEEE 8th Symposium on Computer Arithmetic
                 (ARITH)}",
  title =        "{CORDIC} Arithmetic for an {SVD} Processor",
  crossref =     "Irwin:1987:PSC",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "113--120",
  year =         "1987",
  DOI =          "https://doi.org/10.1109/ARITH.1987.6158686",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith8/papers/ARITH8_Cavallaro_Luk.pdf",
  abstract =     "Arithmetic issues in the calculation of the Singular
                 Value Decomposition (SVD) are discussed. Traditional
                 algorithms using hardware division and square root are
                 replaced with the special purpose CORDIC algorithms for
                 computing vector rotations and inverse tangents. The
                 CORDIC $ 2 \times 2 $ SVD processor can be twice as
                 fast as one assembled from traditional hardware units.
                 A prototype VISI implementation of a CORDIC SVD
                 processor array is planned for use in real-time signal
                 processing applications.",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-8; Computational modeling; Equations; Jacobian
                 matrices; Mathematical model; Read only memory;
                 Vectors; Yttrium",
}

@InProceedings{Cosnard:1987:FAC,
  author =       "M. Cosnard and A. Guyot and B. Hochet and Jean-Michel
                 Muller and H. Ouaouicha and P. Paul and E. Zysman",
  title =        "The {FELIN} Arithmetic Coprocessor Chip",
  crossref =     "Irwin:1987:PSC",
  pages =        "107--112",
  month =        may,
  year =         "1987",
  DOI =          "https://doi.org/10.1109/ARITH.1987.6158691",
  bibdate =      "Wed Nov 14 18:22:26 2007",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith8/papers/ARITH8_Cosnard_Guyot_Hochet_Muller_Ouaouicha_Paul_Zysman.pdf",
  abstract =     "We describe a general VLSI architecture for the
                 computation of arithmetic expressions including
                 floating-point transcendental functions. This
                 architecture, is divided in three parts: a
                 communication machine, the control part of a
                 computation machine and the operative part of this
                 computation machine. In order to compute the most usual
                 transcendental functions, we introduced some general
                 algorithms, presented briefly here, including as a
                 particular case the CORDIC scheme. Our major
                 architecture goals were regularity, parametrization and
                 automatic design. The final chip is designed in a 2-Alu
                 CMOS technology, and its name is FELIN (``Fonctions
                 EL{\'e}mentaires INt{\'e}gr{\'e}es'' is the French for
                 ``integrated elementary functions'').",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-8",
}

@InProceedings{Deprettere:1987:OOM,
  author =       "E. F. Deprettere and K. Jainandunsing",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Philadelphia, PA, USA, 4--7 May 1987}",
  title =        "Orthogonal and {$J$}-orthogonal matrix inversion
                 techniques",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "143--146",
  year =         "1987",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  ISSN =         "0271-4302",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:10:56 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Ercegovac:1987:FCR,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  title =        "On-the-fly conversion of redundant into conventional
                 representations",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "C-36",
  number =       "7",
  pages =        "895--897",
  month =        jul,
  year =         "1987",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.1987.1676986",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Sat Jul 9 09:28:54 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1980.bib",
  URL =          "http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1676986",
  acknowledgement = ack-sfo # " and " # ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
}

@InProceedings{Ercegovac:1987:FCS,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  editor =       "????",
  booktitle =    "Proceedings of the 21st Asilomar Conference Signals,
                 Systems, Computers",
  title =        "Fast cosine\slash sine implementation using on-line
                 {CORDIC}",
  publisher =    "????",
  address =      "????",
  pages =        "222--226",
  year =         "1987",
  bibdate =      "Wed Oct 29 07:35:08 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@TechReport{Ercegovac:1987:RLC,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  title =        "Redundant and On-Line {CORDIC}: Application to Matrix
                 Triangularization and {SVD}",
  type =         "Technical Report",
  number =       "CSD-870046",
  institution =  "Department of Computer Science, UCLA",
  address =      "Los Angeles, CA, USA",
  year =         "1987",
  bibdate =      "Wed Nov 12 09:52:39 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Hu:1987:ODV,
  author =       "Yu Hen Hu and T. Y. Sung",
  editor =       "????",
  booktitle =    "{Proceedings of the International Symposium on VLSI
                 Technology, Systems and Applications, Taipei, Taiwan,
                 R.O.C., May 1987}",
  title =        "The Optimal Design of {VLSI CORDIC} processor",
  publisher =    "????",
  address =      "????",
  pages =        "31--35",
  year =         "1987",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:03:48 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Book{Kung:1987:VAP,
  author =       "S. Y. Kung",
  title =        "{VLSI} Array Processors",
  publisher =    pub-PH,
  address =      pub-PH:adr,
  pages =        "????",
  year =         "1987",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:49:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Lee:1987:MPC,
  author =       "C. S. Lee and P. Chang",
  title =        "A maximum pipelined {CORDIC} architecture for inverse
                 kinematic position computation",
  journal =      j-IEEE-J-ROBOTICS-AUTOMATION,
  volume =       "3",
  number =       "5",
  pages =        "445--458",
  year =         "1987",
  CODEN =        "IJRAE4",
  DOI =          "https://doi.org/10.1109/JRA.1987.1087126",
  ISSN =         "0882-4967",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Robotics and Automation",
  keywords =     "Computer architecture; Concurrent computing; Data flow
                 computing; Delay; Equations; Manipulators; Parallel
                 processing; Pipeline processing; Robot kinematics;
                 Robotics and automation",
}

@InProceedings{Nudd:1987:CVP,
  author =       "Graham R. Nudd and John G. Vaudin",
  editor =       "????",
  booktitle =    "{IEE Colloquium on VLSI for Image Processing, London,
                 UK, April 1987}",
  title =        "Cellular {VLSI} Processing Architecture Using {CORDIC}
                 Elements for Image Processing",
  publisher =    "????",
  address =      "????",
  pages =        "3.1--3.6",
  year =         "1987",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 08:57:54 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Sung:1987:DPC,
  author =       "Tze Yun Sung and Tai Ming Parng and Yu Hen Hu",
  title =        "Doubly pipelined {CORDIC} array for digital signal
                 processing algorithms",
  journal =      j-J-CHINESE-INST-ENG,
  volume =       "10",
  number =       "4",
  pages =        "375--383",
  month =        jun,
  year =         "1987",
  CODEN =        "CKCKDZ",
  DOI =          "https://doi.org/10.1080/02533839.1987.9676985",
  ISSN =         "2158-7299",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Chinese Institute of Engineers =
                 Chung-kuo kung ch'eng hsueh kan",
}

@Article{Sung:1987:HPV,
  author =       "Tze Yun Sung and Tai Ming Parng and Yu Hen Hu",
  title =        "High performance {VLSI} {CORDIC} algorithms,
                 architecture and chip design",
  journal =      j-J-CHINESE-INST-ENG,
  volume =       "10",
  number =       "5",
  pages =        "493--502",
  month =        jul,
  year =         "1987",
  CODEN =        "CKCKDZ",
  DOI =          "https://doi.org/10.1080/02533839.1987.9677002",
  ISSN =         "2158-7299",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Chinese Institute of Engineers =
                 Chung-kuo kung ch'eng hsueh kan",
}

@Article{Sung:1987:PVI,
  author =       "T. Y. Sung and Yu Hen Hu",
  title =        "Parallel {VLSI} implementation of {Kalman} filter",
  journal =      j-IEEE-TRANS-AEROSP-ELECTRON-SYST,
  volume =       "AES 23",
  number =       "2",
  pages =        "215--224",
  month =        mar,
  year =         "1987",
  CODEN =        "IEARAX",
  DOI =          "",
  ISSN =         "0018-9251 (print), 1557-9603 (electronic)",
  ISSN-L =       "0018-9251",
  bibdate =      "Wed Oct 29 13:01:59 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Aerospace and Electronic
                 Systems",
}

@Article{Takagi:1987:HAC,
  author =       "Naofumi Takagi and T. Asada and S. Yajima",
  title =        "A Hardware Algorithm for Computing Sine and Cosine
                 Using Redundant Binary Representation",
  journal =      j-SYS-COMP-JAPAN,
  volume =       "18",
  number =       "??",
  pages =        "1--9",
  month =        "????",
  year =         "1987",
  CODEN =        "SCJAEP",
  DOI =          "",
  ISSN =         "0882-1666 (print), 1520-684X (electronic)",
  ISSN-L =       "0882-1666",
  bibdate =      "Wed Nov 12 08:59:45 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Systems and computers in Japan",
}

@PhdThesis{Takagi:1987:SHA,
  author =       "Naofumi Takagi",
  title =        "Studies on Hardware Algorithms for Arithmetic
                 Operations with a Redundant Binary Adder",
  type =         "{PhD} dissertation",
  school =       "Department of Information Sciences, Faculty of
                 Engineering, Kyoto University",
  address =      "Kyoto, Japan",
  pages =        "vii + 150",
  month =        aug,
  year =         "1987",
  bibdate =      "Thu Nov 13 06:14:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://hdl.handle.net/2433/154053;
                 https://repository.kulib.kyoto-u.ac.jp/server/api/core/bitstreams/b38960be-ee22-458e-9899-ed77db01155e/content;",
  acknowledgement = ack-nhfb,
  tableofcontents = "Abstract / i \\
                 Table of Contents / v \\
                 \\
                 1: Introduction / 1 \\
                 1.1 Backgrounds / 1 \\
                 1.2 Outline of the Thesis / 4 \\
                 \\
                 2: Preliminaries / 8 \\
                 2.1 Binary Number System / 8 \\
                 2.2 Computation Model / 8 \\
                 2.3 Redundant Binary Representation \\
                 2.3.1 Redundant Binary Representation / 10 \\
                 2.3.2 Carry-Propagation-Free Addition / 11 \\
                 2.3.3 Redundant Binary to Binary Conversion / 15 \\
                 2.3.4 Special Redundant Binary Numbers / 16 \\
                 \\
                 3: A Multiplication Hardware Algorithm with a Redundant
                 Binary Addition Tree / 19 \\
                 3.1 Introduction / 19 \\
                 3.2 A Multiplication Hardware Algorithm / 19 \\
                 3.2.1 Algorithm / 21 \\
                 3.2.2 Analysis of the Algorithm / 25 \\
                 3.3 A Multiplier Based on the Algorithm \\
                 3.3.1 Multiplier Recoding and Partial Product
                 Generation / 27 \\
                 3.3.2 A Multiplier Based on the Algorithm / 30 \\
                 3.3.3 The Depth and the Gate Count of the Multiplier /
                 33 \\
                 3.4 Computation of Other Arithmetic Functions Using the
                 Multiplier \\
                 3.4.1 Redundant Binary Multiplier Receding / 35 \\
                 3.4.2 Computation of Other Arithmetic Functions / 36
                 \\
                 3.5 Remarks and Discussions / 39 \\
                 \\
                 4: A Subtract-and-Shift Division Hardware Algorithm /
                 42 \\
                 4.1 Introduction / 42 \\
                 4.2 A Division Hardware Algorithm / 44 \\
                 4.2.1 Algorithm / 44 \\
                 4.2.2 Analysis of the Algorithm / 47 \\
                 4.3 A Divider Based on the Algorithm / 51 \\
                 4.4 Remarks and Discussions / 56 \\
                 4.A A Proof of the Correctness of the Algorithm / 57
                 \\
                 \\
                 5: A Subtract-and-Shift Square Root Hardware Algorithm
                 / 60 \\
                 5.1 Introduction / 60 \\
                 5.2 A Square Root Hardware Algorithm / 62 \\
                 5.2.1 Algorithm / 62 \\
                 5.2.2 Analysis of the Algorithm / 67 \\
                 5.3 A Square Root Circuit Based on the Algorithm / 69
                 \\
                 5.4 Remarks and Discussions / 70 \\
                 5.A A Proof of the Correctness of the Algorithm / 71
                 \\
                 \\
                 6: Hardware Algorithms for Elementary Functions / 75
                 \\
                 6.1 Introduction / 75 \\
                 6.2 Hardware Algorithms Based on the CORDIC Method / 77
                 \\
                 6.2.1 Principle of the CORDIC Method / 77 \\
                 6.2.2 A Hardware Algorithm for Computing Sines and
                 Cosines / 80 \\
                 6.2.3 A Hardware Algorithm for Computing Arctangents /
                 87 \\
                 6.3 Hardware Algorithms Based on the STL Method / 91
                 \\
                 6.3.1 Principle of the STL Method / 91 \\
                 6.3.2 A Hardware Algorithm for Computing Logarithms /
                 92 \\
                 6.3.3 A Hardware Algorithm for Computing Exponentials /
                 97 \\
                 6.4 Remarks and Discussions / 101 \\
                 \\
                 7: Design of Self-Checking Arithmetic Circuits by Means
                 of the Three-Rail Logic / 103 \\
                 7.1 Introduction / 103 \\
                 7.2 Design of Self-Checking Arithmetic Circuits / 106
                 \\
                 7.3 A Design of a Self-Checking Multiplier / 112 \\
                 7.4 Remarks and Discussions / 119 \\
                 \\
                 8: Redundant Coding Schemes for Several Algebraic
                 Systems / 121 \\
                 8.1 Introduction / 121 \\
                 8.2 Coding Schemes and Local Computability / 122 \\
                 8.3 A Redundant Coding Scheme for a Residue Class and a
                 Hardware Algorithm for Modular Addition / 124 \\
                 8.4 Redundant Coding Schemes for Other Algebraic
                 Systems / 129 \\
                 8.5 Remarks and Discussions / 132 \\
                 \\
                 9: Conclusion / 135 \\
                 Acknowledgments / 138",
}

@Article{Vachss:1987:CMF,
  author =       "Raymond Vachss",
  title =        "The {CORDIC} Magnification Function",
  journal =      j-IEEE-MICRO,
  volume =       "7",
  number =       "5",
  pages =        "83--84",
  month =        sep # "\slash " # oct,
  year =         "1987",
  CODEN =        "IEMIDZ",
  DOI =          "https://doi.org/10.1109/MM.1987.305021",
  ISSN =         "0272-1732 (print), 1937-4143 (electronic)",
  ISSN-L =       "0272-1732",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  fjournal =     "IEEE Micro",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=40",
  keywords =     "Equations; Floating-point arithmetic",
}

@Article{Vaudin:1987:VPE,
  author =       "G. J. Vaudin and G. R. Nudd",
  title =        "3 $ \mu $ m {VLSI} Processing Element Using the
                 {CORDIC} Algorithm",
  journal =      j-ELECT-LETTERS,
  volume =       "23",
  number =       "??",
  pages =        "1164--1166",
  month =        "????",
  year =         "1987",
  CODEN =        "ELLEAK",
  DOI =          "",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Nov 12 09:04:42 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
  OPTfjournal =  "",
  OPTjournal-url = "",
  OPTkeywords =  "",
  OPTnote =      "",
  OPTremark =    "",
}

@InProceedings{Yang:1987:SCG,
  author =       "B. Yang and Dirk Timmermann and J. F. Bome and Helmut
                 Hahn and Bedrich J. Hosticka and G. Schmidt and G.
                 Zimmer",
  editor =       "????",
  booktitle =    "{VLSI and computers. Proceedings of the 1st
                 International Conference on Computer Technology,
                 Systems and Applications. COMPEURO '87, Hamburg,
                 Germany, 11--15 May 1987}",
  title =        "Special computers: graphics, robotics",
  publisher =    "????",
  address =      "????",
  pages =        "727--730",
  year =         "1987",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 08:41:11 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "From the abstract: ``This paper describes a special
                 processor based on the CORDIC algorithm, which can
                 perform a variety of trigonometric, hyperbolic and
                 square-root functions as well as multiplications and
                 divisions.''",
  xxbooktitle =  "Proceedings of {VLSI} Computer, {COMPEURO}",
}

@Article{Cavallaro:1988:CAS,
  author =       "Joseph R. Cavallaro and Franklin T. Luk",
  title =        "{CORDIC} arithmetic for an {SVD} processor",
  journal =      j-J-PAR-DIST-COMP,
  volume =       "5",
  number =       "3",
  pages =        "271--290",
  month =        jun,
  year =         "1988",
  CODEN =        "JPDCER",
  ISSN =         "0743-7315 (print), 1096-0848 (electronic)",
  ISSN-L =       "0743-7315",
  bibdate =      "Sat Apr 12 19:06:31 MDT 1997",
  bibsource =    "Compendex database;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  affiliationaddress = "Cornell Univ, Ithaca, NY, USA",
  classification = "721; 722; 723; 921; C5230 (Digital arithmetic
                 methods); C5440 (Multiprocessor systems and
                 techniques)",
  corpsource =   "Sch. of Electr. Eng., Cornell University, NY, USA",
  fjournal =     "Journal of Parallel and Distributed Computing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/07437315",
  journalabr =   "J Parallel Distrib Comput",
  keywords =     "Algorithms; computer architecture; computer
                 programming; computers, digital --- Computational
                 Methods; CORDIC arithmetic; Decomposition; digital
                 arithmetic; hardware division; inverse tangents;
                 mathematical techniques --- Algorithms; parallel
                 processing; real-time; rotations; signal processing
                 applications; Singular Value; singular value
                 decomposition; special-purpose arithmetic techniques;
                 square root; SVD processor; vector; vector rotations;
                 VLSI implementation",
  treatment =    "P Practical",
}

@InProceedings{Cavallaro:1988:FPC,
  author =       "Joseph R. Cavallaro and F. T. Luk",
  booktitle =    "{Proceedings 1988 IEEE International Conference on
                 Computer Design: VLSI, in Computers and Processors, Rye
                 Brook, NY, 1988}",
  title =        "Floating point {CORDIC} for matrix computations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "40--42",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ICCD.1988.25655",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Equations; Floating-point arithmetic;
                 Hardware; Matrix decomposition; Read only memory;
                 Registers; Signal processing algorithms; Singular value
                 decomposition; Very large scale integration",
}

@PhdThesis{Cavallaro:1988:VCP,
  author =       "Joseph Rocco Cavallaro",
  title =        "{VLSI} {CORDIC} processor architectures for the
                 {Singular Value Decomposition}",
  type =         "{Ph.D.} thesis",
  school =       "Cornell University",
  address =      "Ithaca, NY, USA",
  pages =        "124",
  year =         "1988",
  ISBN-13 =      "979-82-06-10199-7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/vlsi-cordic-processor-architectures-singular/docview/303553119/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "8900916",
}

@Article{Chakrabarti:1988:ITT,
  author =       "N. B. Chakrabarti",
  title =        "An Implementation of Trigonometric Transforms using
                 Plane Rotations",
  journal =      "IETE Journal of Research",
  volume =       "34",
  number =       "6",
  pages =        "459--461",
  month =        nov,
  year =         "1988",
  CODEN =        "JIETAU",
  DOI =          "https://doi.org/10.1080/03772063.1988.11436769",
  ISSN =         "0377-2063 (print), 0974-780X (electronic)",
  ISSN-L =       "0377-2063",
  bibdate =      "Tue Nov 11 15:00:32 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@InProceedings{Chapmann:1988:GDM,
  author =       "R. Chapmann and M. A. Rahman",
  editor =       "????",
  booktitle =    "Proceedings {ICASSP88}. Glasgow. Scotland, 1988",
  title =        "A generalized design method for orthogonal {IIR}
                 lattice fillers",
  publisher =    "????",
  address =      "????",
  pages =        "829--832",
  year =         "1988",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:44:15 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@TechReport{deLange:1988:ADS,
  author =       "A. A. J. de Lange and A. J. van der Hoeven and Ed F.
                 Deprettere and J. Bu",
  title =        "Automated Design of a Signal Processing Chip. {The}
                 Floating Point Pipeline {CORDIC} Processor",
  type =         "Delft Progress Report",
  number =       "12",
  institution =  "University of Delft",
  address =      "Delft, The Netherlands",
  pages =        "233--244",
  year =         "1988",
  bibdate =      "Wed Nov 12 09:13:36 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{deLange:1988:OFP,
  author =       "A. A. J. de Lange and A. J. van der Hoeven and E. F.
                 Deprettere and J. Bu",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Espoo, Finland. June 7--9, 1988}",
  title =        "An optimal floating-point pipeline {CMOS} {CORDIC}
                 processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2043--2047 (vol. 3)",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ISCAS.1988.15343",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Analytical models;
                 Circuit simulation; CMOS process; Design methodology;
                 Macrocell networks; Pipelines; Signal processing
                 algorithms; Silicon compiler; Very large scale
                 integration",
}

@InProceedings{Delosme:1988:PTD,
  author =       "Jean-Marc Delosme",
  editor =       "????",
  booktitle =    "{Proceedings of the 21st Asilomar Conference on
                 Circuits, Systems, and Computers, November, 1988}",
  title =        "A processor for two-dimensional symmetric eigenvalue
                 and singular value arrays",
  publisher =    "????",
  address =      "????",
  pages =        "217--221",
  year =         "1988",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:58:36 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ercegovac:1988:IFA,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Espoo, Finland. June 7--9, 1988}",
  title =        "Implementation of fast angle calculation and rotation
                 using on-line {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2703--2706 (vol. 3)",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ISCAS.1988.15497",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Broadcasting; Computer
                 applications; Computer science; Delay; Matrix
                 decomposition; Read only memory; Singular value
                 decomposition; Throughput; Very large scale
                 integration",
}

@InProceedings{Harber:1988:ABS,
  author =       "R. G. Harber and X. Hu and J. Li and S. C. Bass",
  booktitle =    "{Proceedings. 1988 IEEE International Conference on
                 Robotics and Automation, Philadelphia, PA, April
                 1988}",
  title =        "The application of bit-serial {CORDIC} computational
                 units to the design of inverse kinematics processors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1152--1157 (vol. 2)",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ROBOT.1988.12217",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Actuators; Arithmetic; End effectors; Equations;
                 Interpolation; Kinematics; Performance evaluation;
                 Process design; Testing; Very large scale integration",
}

@InProceedings{Hu:1988:EIC,
  author =       "Yu Hen Hu and S. Naganathan",
  booktitle =    "{Proceedings of the Twenty-Second Asilomar Conference
                 on Signals, Systems and Computers, Pacific Grove, CA,
                 October 1989}",
  title =        "Efficient Implementation of {Chirp Z-Transform} Using
                 a {CORDIC} Processor*",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "157--160",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ACSSC.1988.753974",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Chirp; Computational modeling; Digital
                 signal processing; Frequency; Greedy algorithms;
                 Hardware; Least squares approximation; Signal
                 processing algorithms; Very large scale integration",
}

@InProceedings{Hu:1988:QEC,
  author =       "Yu Hen Hu",
  booktitle =    "{ICASSP-88., International Conference on Acoustics,
                 Speech, and Signal Processing, New York, NY, April
                 1988}",
  title =        "The quantization effects of the {CORDIC} algorithm
                 (coordinate rotation digital computer)",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1822--1825 (vol. 3)",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ICASSP.1988.196976",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Approximation error; Digital
                 arithmetic; Error analysis; Filtering algorithms;
                 Floating-point arithmetic; Iterative algorithms;
                 Quantization; Roundoff errors; Signal processing
                 algorithms",
}

@InProceedings{Lee:1988:CBA,
  author =       "C. S. G. Lee",
  booktitle =    "{Proceedings of the Third IEEE International Symposium
                 on Intelligent Control, Arlington, VA, August 1988}",
  title =        "{CORDIC}-based architectures for robot direct
                 kinematics and {Jacobian} computations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "609--614",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ISIC.1988.65500",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Computer peripherals;
                 Concurrent computing; Coprocessors; Displacement
                 measurement; Equations; Jacobian matrices;
                 Manipulators; Matrix decomposition; Robot kinematics",
}

@InProceedings{Note:1988:ASH,
  author =       "Stefaan Note and Jef {Van Meerbergen} and Francky
                 Catthoor and Hugo {De Man}",
  editor =       "????",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Espoo, Finland. June 7--9, 1988}",
  title =        "Automated synthesis of a high speed {CORDIC} algorithm
                 with the {Cathedral-III} compilation system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "581--584 (vol. 1)",
  year =         "1988",
  DOI =          "https://doi.org/10.1109/ISCAS.1988.14993",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  book-DOI =     "https://doi.org/10.1109/ISCAS.1988",
  keywords =     "Automatic testing; Circuit synthesis; Circuit testing;
                 Design methodology; Digital signal processing;
                 Equations; Flow graphs; Signal processing algorithms;
                 Signal synthesis; System testing",
}

@Article{Privat:1988:LCA,
  author =       "Gilles Privat and Marc Renaudin",
  title =        "L'algorithme {CORDIC} dans les architectures
                 sp{\'e}cialis{\'e}es de traitement num{\'e}rique du
                 signal. ({CORDIC}-based digital signal processing
                 hardware algorithms)",
  journal =      "Trait. Signal",
  volume =       "5",
  number =       "6",
  pages =        "421--434",
  year =         "1988",
  ISSN =         "0765-0019 (print), 1958-5608 (electronic)",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0689.68038",
  acknowledgement = ack-nhfb,
  fjournal =     "Traitement du Signal",
  keywords =     "68W99",
  language =     "French",
  ZBmath =       "4129990",
}

@InProceedings{Ahmed:1989:EEF,
  author =       "Hassan M. Ahmed",
  title =        "Efficient Elementary Function Generation with
                 Multipliers",
  crossref =     "Ercegovac:1989:PSC",
  pages =        "52--59",
  year =         "1989",
  bibdate =      "Sat Nov 27 14:19:10 MST 2004",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith9/papers/ARITH9_Ahmed.pdf",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  keywords =     "ARITH-9",
}

@InProceedings{Ahmed:1989:GCC,
  author =       "Hassan M. Ahmed",
  editor =       "????",
  booktitle =    "Proceedings of {1989 International Conference on
                 Acoustics, Speech, and Signal Processing, Glasgow,
                 Scotland, May 1989}",
  title =        "Generalized Convergence Computation Method",
  publisher =    "????",
  address =      "????",
  pages =        "849--852",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 09:25:23 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@InProceedings{Ahmed:1989:VAC,
  author =       "Hassan M. Ahmed and Kin-Ho Fu",
  editor =       "????",
  booktitle =    "Proceedings of 1989 International Conference on
                 Acoustics, Speech, and Signal Processing, Glasgow,
                 Scotland, May 1989",
  title =        "A {VLSI} array {CORDIC} architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2385--2388 (vol. 4)",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ICASSP.1989.266947",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 09:23:48 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Array signal processing;
                 Computer architecture; Digital signal processing;
                 Equations; Iterative algorithms; Lattices; Signal
                 processing algorithms; Systems engineering and theory;
                 Very large scale integration",
}

@InProceedings{Baraniecki:1989:FCD,
  author =       "Anna Z. Baraniecki",
  editor =       "????",
  booktitle =    "{International Conference on Acoustics, Speech, and
                 Signal Processing, Glasgow, Scotland, May 1989}",
  title =        "Fast Computation of the {Discrete Hartley Transform}",
  publisher =    "????",
  address =      "????",
  pages =        "1282--1285",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 09:28:13 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@TechReport{Cavallaro:1989:CMF,
  author =       "Joseph R. Cavallaro and Anne C. Elster",
  title =        "Complex Matrix Factorizations with {CORDIC}
                 Arithmetic",
  type =         "Technical Report",
  number =       "89-1071",
  institution =  "Department of Computer Science, Cornell University",
  address =      "Ithaca, NY, USA",
  pages =        "????",
  month =        "????",
  year =         "1989",
  bibdate =      "Wed Nov 12 09:39:30 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Cavallaro:1989:VIC,
  author =       "Joseph R. Cavallaro and M. P. Keleher and R. H. Price
                 and G. S. Thomas",
  booktitle =    "{Proceedings of the Eighth University\slash
                 Government\slash Industry Microelectronics Symposium,
                 Westborough, MA, June 1989}",
  title =        "{VLSI} implementation of a {CORDIC} {SVD} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "256--260",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/UGIM.1989.37346",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Array signal processing; CMOS
                 process; Computer architecture; Design engineering;
                 Design methodology; Matrix decomposition; Signal
                 processing algorithms; Singular value decomposition;
                 Very large scale integration",
}

@InProceedings{Considine:1989:CTF,
  author =       "Vincent Considine",
  booktitle =    "{International Conference on Acoustics, Speech, and
                 Signal Processing, Glasgow, Scotland, May 1989}",
  title =        "{CORDIC} trigonometric function generator for {DSP}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2381--2384 (vol. 4)",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ICASSP.1989.266946",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Digital arithmetic; Digital
                 signal processing; Digital systems; Equations;
                 Polynomials; Signal generators; Signal processing
                 algorithms; Signal sampling; Table lookup",
}

@InProceedings{deLange:1989:DMA,
  author =       "A. A. J. de Lange and A. J. van der Hoeven and E. F.
                 Deprettere and P. Dewilde and J. Bu",
  booktitle =    "{1989 European Conference on Circuit Theory and
                 Design, 05--08 September 1989, Brighton, UK}",
  title =        "The design of a 50 Mflop arithmetic chip for massively
                 parallel pipelined {DSP} algorithms: the floating point
                 pipeline {CORDIC} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "410--414",
  year =         "1989",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/51651/",
  acknowledgement = ack-nhfb,
  keywords =     "Digital arithmetic; Digital signal processors;
                 Parallel architectures; Pipeline processing;
                 Very-large-scale integration",
}

@InProceedings{Delosme:1989:CAT,
  author =       "Jean-Marc Delosme",
  editor =       "????",
  booktitle =    "{SPIE} Advanced Algorithms and Architectures for
                 Signal Processing {IV}",
  title =        "{CORDIC} algorithms: theory and extensions",
  volume =       "1152",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "131--145",
  year =         "1989",
  bibdate =      "Wed Oct 29 07:27:10 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Duh:1989:IDC,
  author =       "Wei-Jou Duh and Ja-Ling Wu",
  booktitle =    "{International Symposium on VLSI Technology, Systems
                 and Applications, Taipei, Taiwan, 1989}",
  title =        "Implementing the discrete cosine transform by using
                 {CORDIC} techniques",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "281--285",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/VTSA.1989.68630",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Computer science; Concurrent
                 computing; Costs; Discrete cosine transforms;
                 Karhunen-Loeve transforms; Signal processing; Speech
                 processing; Very large scale integration; Zinc",
}

@InProceedings{Eldon:1989:PRB,
  author =       "John A. Eldon and Terrence Chow",
  editor =       "????",
  booktitle =    "Proceedings of the {Twenty-Third Annual Asilomar
                 Conference on Signals, Systems and Computers, Pacific
                 Grove, CA, October 1989}",
  title =        "From Polar to Rectangular and Back",
  publisher =    "????",
  address =      "????",
  pages =        "431--435",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 09:30:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@InProceedings{Harber:1989:BSC,
  author =       "R. G. Harber and J. Li and X. Hu and S. C. Bass",
  booktitle =    "{1989 IEEE International Symposium on Circuits and
                 Systems (ISCAS), Portland, OR, May 1989}",
  title =        "Bit-serial {CORDIC} circuits for use in a {VLSI}
                 silicon compiler",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "154--157 (vol. 1)",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ISCAS.1989.100315",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Arithmetic; Hardware; Iterative
                 algorithms; Logic circuits; Nonlinear equations; Robot
                 kinematics; Signal processing algorithms; Silicon
                 compiler; Very large scale integration",
}

@PhdThesis{Harber:1989:VDS,
  author =       "Ronald Gerard Harber",
  title =        "{VLSI} design of systems of {CORDIC} processors",
  type =         "{Ph.D.} thesis",
  school =       "Purdue University",
  address =      "West Lafayette, IN, USA",
  pages =        "136",
  year =         "1989",
  ISBN-13 =      "979-82-08-58987-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/vlsi-design-systems-cordic-processors/docview/303810953/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Steven C. Bass",
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Computer science; Electrical
                 engineering",
  ris-m1 =       "9018838",
}

@Article{Hillis:1989:RFC,
  author =       "W. Daniel Hillis",
  title =        "{Richard Feynman} and the {Connection Machine}",
  journal =      j-PHYS-TODAY,
  volume =       "42",
  number =       "2",
  pages =        "78--83",
  month =        feb,
  year =         "1989",
  CODEN =        "PHTOAD",
  DOI =          "https://doi.org/10.1063/1.881196",
  ISSN =         "0031-9228 (print), 1945-0699 (electronic)",
  ISSN-L =       "0031-9228",
  bibdate =      "Sat Apr 09 10:49:42 2011",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/f/feynman-richard-p.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://www.kurzweilai.net/articles/art0504.html?printable=1",
  acknowledgement = ack-nhfb,
  fjournal =     "Physics Today",
  journal-URL =  "http://www.physicstoday.org/",
  keywords =     "CORDIC algorithm; Los Alamos, NM; Manhattan Project",
  remark =       "Special issue on Richard Feynman.",
}

@InProceedings{Hu:1989:ARM,
  author =       "Yu Hen Hu and S. Naganathan",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 Portland, OR, May 1989}",
  title =        "Angle recording method for efficient implementation of
                 the {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "175--178 (vol. 1)",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ISCAS.1989.100320",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Chirp; Computational modeling;
                 Contracts; Digital arithmetic; Digital signal
                 processing; Fast Fourier transforms; Greedy algorithms;
                 Iterative algorithms; Signal processing algorithms",
  xxtitle =      "An Angle Recording Method for {CORDIC} Algorithm
                 Implementation",
}

@InProceedings{Hu:1989:ARS,
  author =       "Yu Hen Hu and S. Naganathan",
  editor =       "????",
  booktitle =    "{Proceedings of the 32nd Midwest Symposium on Circuits
                 and Systems, Champaign, IL, August 1989}",
  title =        "An Angle Recoding Scheme for Efficient Realization of
                 Rotation-Based Digital Signal Processing Algorithms",
  publisher =    "????",
  address =      "????",
  pages =        "349--352",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 09:35:22 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Hu:1989:PED,
  author =       "Yu Hen Hu",
  editor =       "????",
  booktitle =    "{Proceedings of TCASSP. Glasgow, Scotland, May 1989}",
  title =        "Parallel eigenvalue decomposition for {Toeplitz} and
                 related matrices",
  publisher =    "????",
  address =      "????",
  pages =        "1107--1110",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:14:25 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@PhdThesis{Hu:1989:SCD,
  author =       "Xiaobo Hu",
  title =        "A silicon compiler for dedicated mathematical systems
                 based on {CORDIC} arithmetic processors",
  type =         "{Ph.D.} thesis",
  school =       "Purdue University",
  address =      "West Lafayette, IN, USA",
  pages =        "184",
  year =         "1989",
  ISBN-13 =      "979-82-07-65496-6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/silicon-compiler-dedicated-mathematical-systems/docview/303729399/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Steven C. Bass",
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Compiler; Computer science;
                 Electrical engineering; Mathematical systems",
  ris-m1 =       "9018840",
}

@Article{Jainandunsing:1989:NCP,
  author =       "K. Jainandunsing and E. F. Deprettere",
  title =        "A new class of parallel algorithms for solving systems
                 of linear equations",
  journal =      j-SIAM-J-SCI-STAT-COMP,
  volume =       "10",
  number =       "5",
  pages =        "880--912",
  month =        sep,
  year =         "1989",
  CODEN =        "SIJCD4",
  DOI =          "https://doi.org/10.1137/0910051",
  ISSN =         "0196-5204",
  ISSN-L =       "0196-5204",
  MRclass =      "65F05 (65Y05 68Q35)",
  MRnumber =     "91d:65050",
  MRreviewer =   "R. E. Funderlic",
  bibdate =      "Mon Mar 31 10:00:21 MDT 2014",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/siamjscistatcomp.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "SIAM J. Sci. Statist. Comput.",
  classification = "B0290H (Linear algebra); C4140 (Linear algebra);
                 C4240 (Programming and algorithm theory); C7310
                 (Mathematics)",
  corpsource =   "Dept. of Electr. Eng., Delft Univ. of Technol.,
                 Netherlands",
  fjournal =     "SIAM Journal on Scientific and Statistical Computing",
  journal-URL =  "http://epubs.siam.org/loi/sijcd4",
  keywords =     "computational complexity; feed-forward direct methods;
                 Givens rotations; matrix algebra; matrix factorization;
                 nonsingular systems of linear equations; numerical
                 methods; numerically stable; parallel algorithms;
                 systolic implementations",
  onlinedate =   "September 1989",
  treatment =    "T Theoretical or Mathematical",
}

@Article{Jou:1989:LRB,
  author =       "I.-C. Chang Jou",
  title =        "Linear rotation based algorithm and systolic
                 architecture for solving linear system equations",
  journal =      j-PARALLEL-COMPUTING,
  volume =       "11",
  number =       "3",
  pages =        "367--379",
  day =          "28",
  month =        "????",
  year =         "1989",
  CODEN =        "PACOEJ",
  ISSN =         "0167-8191 (print), 1872-7336 (electronic)",
  ISSN-L =       "0167-8191",
  MRclass =      "65-04 (65F05)",
  MRnumber =     "1 021 444",
  bibdate =      "Mon Apr 14 12:07:40 MDT 1997",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/parallelcomputing.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "Parallel Comput.",
  classification = "C4140 (Linear algebra); C4240 (Programming and
                 algorithm theory)",
  corpsource =   "Telecommun. Labs., Minist. of Commun., Chung-Li,
                 Taiwan",
  fjournal =     "Parallel Computing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/01678191/",
  keywords =     "algorithms; array; backward substitution;
                 computational complexity; Gaussian elimination method;
                 hardware complexity; ill condition; linear; linear
                 algebra; linear array; linear rotation algorithm;
                 linear system equations; modified; numerical
                 singularity; parallel; parameter sequence; rotation
                 based algorithm; sign; systolic architecture;
                 trapezoidal systolic; triangularization of a matrix",
  treatment =    "T Theoretical or Mathematical",
}

@Article{Kawasaki:1989:FPV,
  author =       "Shumpei Kawasaki and Mitsuru Watabe and Shigeki
                 Morinaga",
  title =        "A floating-point {VLSI} chip for the {TRON}
                 architecture: an architecture for reliable numerical
                 programming",
  journal =      j-IEEE-MICRO,
  volume =       "9",
  number =       "3",
  pages =        "26--44",
  month =        may # "\slash " # jun,
  year =         "1989",
  CODEN =        "IEMIDZ",
  DOI =          "https://doi.org/10.1109/40.31476",
  ISSN =         "0272-1732 (print), 1937-4143 (electronic)",
  ISSN-L =       "0272-1732",
  bibdate =      "Thu Dec 14 06:08:58 MST 2000",
  bibsource =    "Compendex database;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeemicro.bib;
                 Science Citation Index database (1980--2000)",
  acknowledgement = ack-nj # " and " # ack-nhfb,
  affiliation =  "Hitachi Ltd, Kodaira, Jpn",
  ajournal =     "IEEE Micro",
  classcodes =   "B1265F (Microprocessors and microcomputers); B2570
                 (Semiconductor integrated circuits); C5220 (Computer
                 architecture); C5130 (Microprocessor chips)",
  classification = "722; 723; 921",
  corpsource =   "Hitachi Ltd., Tokyo, Japan",
  fjournal =     "IEEE Micro",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=40",
  keywords =     "Computer Architecture; computer architecture; Computer
                 Interfaces; Computer Networks; Computer Operating
                 Systems--Program Compilers; coprocessor instructions;
                 Cordic Algorithm; Floating Point VLSI Chip;
                 floating-point VLSI chip; Gmicro VLSI cpu; Gmicro/200;
                 Gmicro/300; Gmicro/FPU; implementation; Instruction
                 Pipelining; Integrated Circuits, VLSI; Mathematical
                 Techniques--Digital Arithmetic; microprocessor chips;
                 microprocessors; numerical programming; Protocol
                 Sequences; Protocols; reliable; requirements; TRON
                 Architecture; TRON architecture; VLSI",
  treatment =    "P Practical",
}

@InProceedings{Kwan:1989:CIN,
  author =       "Hon Keung Kwan and Ying Chun Lui",
  booktitle =    "{Proceedings of the 32nd Midwest Symposium on Circuits
                 and Systems, Champaign, IL, August 1989}",
  title =        "{CORDIC} implementation of normalized {ARMA} {Schur}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "369--372 (vol. 1)",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/MWSCAS.1989.101868",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Concurrent computing;
                 Equations; Hardware; Reflection; Very large scale
                 integration",
}

@InProceedings{Lai:1989:PAV,
  author =       "J. Z. C. Lai and Ming Chao",
  title =        "Parallel algorithm and {VLSI} architectures for a
                 robot's inverse kinematics",
  crossref =     "ACM:1989:PSN",
  pages =        "123--132",
  year =         "1989",
  bibdate =      "Wed Apr 15 19:32:44 MDT 1998",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/supercomputing89.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  classification = "B1265F (Microprocessors and microcomputers); B2570
                 (Semiconductor integrated circuits); C3390 (Robotics);
                 C5130 (Microprocessor chips); C5230 (Digital arithmetic
                 methods); C7420 (Control engineering); C7440 (Civil and
                 mechanical engineering)",
  corpsource =   "Dept. of Inf. Eng., Feng Chia Univ., Taichung,
                 Taiwan",
  keywords =     "application specific integrated circuits;
                 computational complexity; CORDIC processors; dynamical
                 errors; fix-point format; high throughput rates;
                 interpolator; inverse kinematics; kinematics; parallel
                 algorithm; parallel algorithms; pipeline processing;
                 robot kinematics; robotic manipulator; robots; sampling
                 period; VLSI; VLSI pipelined architectures",
  sponsororg =   "ACM; IEEE",
  treatment =    "P Practical",
}

@InProceedings{Lee:1989:CBP,
  author =       "Lee and Chen",
  booktitle =    "{Proceedings of IEEE International Conference on
                 Systems Engineering, Fairborn, OH, August 1989}",
  title =        "A {CORDIC}-based pipelined architecture for robot
                 direct kinematic position computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "317--320",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ICSYSE.1989.48681",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Pipeline processing; Position
                 control",
}

@TechReport{Lee:1989:FIR,
  author =       "Jeong-A Lee and Tom{\'a}s Lang",
  title =        "Floating-point implementation of redundant {CORDIC}
                 for {$ Q R $} decomposition",
  type =         "Technical report",
  number =       "CSD-890044",
  institution =  "Computer Science Dept., University of California",
  address =      "Los Angeles, CA, USA",
  pages =        "15 + 8",
  year =         "1989",
  bibdate =      "Sat Feb 24 15:01:45 MST 1996",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Decomposition method.; Matrices.",
  remark =       "``July 1989.'' Supported by the National Science
                 Foundation.",
}

@InProceedings{Lin:1989:LCA,
  author =       "Hai Xiang Lin and Henk J. Sips",
  booktitle =    "{Proceedings of 9th Symposium on Computer Arithmetic,
                 Santa Monica, CA, September 1989}",
  title =        "On-line {CORDIC} algorithms",
  crossref =     "Ercegovac:1989:PSC",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "26--33",
  year =         "1989",
  DOI =          "https://doi.org/10.1109/ARITH.1989.72806",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith9/papers/ARITH9_Lin.pdf",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; ARITH-9; Arithmetic; Circuits; Delay;
                 Difference equations; Minimization; Throughput;
                 Timing",
}

@Article{Mehling:1989:CAS,
  author =       "Rainer Mehling and Raimund Meyer",
  title =        "{CORDIC-AU}, a Suitable Supplementary Unit to a
                 General-Purpose Signal Processor",
  journal =      "{AEU, Archiv f{\"u}r Elektronik und
                 {\"U}bertragungstechnik: Electronics and
                 Communication}",
  volume =       "43",
  number =       "??",
  pages =        "394--397",
  month =        "????",
  year =         "1989",
  DOI =          "",
  bibdate =      "Wed Nov 12 09:19:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Nakayama:1989:MFP,
  author =       "Takashi Nakayama and Hisao Harigai and Shingo Kojima
                 and Hiroaki Kaneko and Hatsuhide Igarashi and Tsuneo
                 Toba and Yutaka Yamagami and Yoichi Yano",
  title =        "A {6.7-MFLOPS} floating-point coprocessor with
                 vector\slash matrix instructions",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "24",
  number =       "5",
  pages =        "1324--1330",
  month =        oct,
  year =         "1989",
  CODEN =        "IJSCBC",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Tue Dec 12 09:17:24 MST 1995",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "An 80-bit floating-point coprocessor which implements
                 24 vector/matrix instructions and 22 mathematical
                 functions is described. This processor can execute
                 floating-point addition/rounding and pipelined
                 multiplication concurrently, under the control of
                 horizontal-type microinstructions. The SRT division
                 method and CORDIC trigonometrical algorithm are used
                 for a favorable cost/performance implementation. The
                 performance of 6.7 MFLOPS in the vector-matrix
                 multiplication at 20 MHz has been attained by the use
                 of parallel operations. The vector/matrix instruction
                 is about three times faster than conventional add and
                 multiply instructions. The chip has been fabricated in
                 1.2- mu m double-metal layer CMOS process containing
                 433000 transistors on a 11.6*14.9-mm/sup 2/ die size.",
  acknowledgement = ack-nhfb,
  classification = "B1265F (Microprocessors and microcomputers); B2570D
                 (CMOS integrated circuits); C5130 (Microprocessor
                 chips); C5230 (Digital arithmetic methods)",
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "1.2 Micron; 20 MHz; 6.7 MFLOPS; 80 Bit; CMOS process;
                 CORDIC trigonometrical algorithm; Double-metal layer;
                 Floating-point coprocessor; Horizontal-type
                 microinstructions; Mathematical functions; Parallel
                 operations; Pipelined multiplication; SRT division
                 method; Vector/matrix instructions; VLSI
                 microprocessor",
  numericalindex = "Word length 8.0E+01 bit; Size 1.2E-06 m; Computer
                 speed 6.7E+06 FLOPS; Frequency 2.0E+07 Hz",
  thesaurus =    "CMOS integrated circuits; Digital arithmetic;
                 Instruction sets; Microprocessor chips; Parallel
                 architectures; Pipeline processing; Satellite
                 computers; VLSI",
}

@TechReport{Takagi:1988:SHA,
  author =       "Naofumi Takagi",
  title =        "Studies on Hardware Algorithms for Arithmetic
                 Operations with a Redundant Binary Representation",
  type =         "Report",
  institution =  "Department of Information Sciences, Faculty of
                 Engineering, Kyoto University",
  address =      "Kyoto, Japan",
  pages =        "vii + 150",
  day =          "23",
  month =        jan,
  year =         "1988",
  bibdate =      "Thu Nov 13 06:14:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://hdl.handle.net/2433/154053;
                 https://repository.kulib.kyoto-u.ac.jp/server/api/core/bitstreams/b38960be-ee22-458e-9899-ed77db01155e/content;",
  acknowledgement = ack-nhfb,
  remark =       "Wrapper around \cite{Takagi:1987:SHA}.",
}

@Article{Timmermann:1989:HTU,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka",
  title =        "{Hough} Transform Using {CORDIC} Method",
  journal =      j-ELECT-LETTERS,
  volume =       "25",
  number =       "??",
  pages =        "105--106",
  month =        "????",
  year =         "1989",
  CODEN =        "ELLEAK",
  DOI =          "",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Nov 12 09:37:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
}

@Article{Timmermann:1989:MCA,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka",
  title =        "Modified {CORDIC} algorithm with reduced iterations",
  journal =      j-ELECT-LETTERS,
  volume =       "25",
  number =       "15",
  pages =        "950--951",
  year =         "1989",
  CODEN =        "ELLEAK",
  DOI =          "https://doi.org/10.1049/el:19890636",
  ISSN =         "0013-5194 (print), 1350-911x (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0714.68046",
  acknowledgement = ack-nhfb,
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
  keywords =     "68Q30,68W10",
  ZBmath =       "4176458",
}

@Article{Williams:1989:CAC,
  author =       "Fred Williams",
  title =        "The {CORDIC} Algorithm --- Cast in Silicon",
  journal =      "Electronic Engineering",
  volume =       "61",
  number =       "??",
  pages =        "47--48",
  month =        "????",
  year =         "1989",
  DOI =          "",
  bibdate =      "Wed Nov 12 09:42:48 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Yang:1989:RCS,
  author =       "B. Yang and J. F. B{\"o}hme",
  editor =       "????",
  booktitle =    "{Proceedings of SPIE Advanced algorithms and
                 architectures for signal processing, August 1989}",
  title =        "Reducing the computations of the {SVDarray} given by
                 {Brent} and {Luk}",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "92--102",
  year =         "1989",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 13:26:40 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See \cite{Brent:1985:SSV}.",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@TechReport{Chown:1990:NDB,
  author =       "Paul Chown",
  title =        "Notes on the Design of a Barrel Shifter for the
                 {Warwick} Pipelined {CORDIC}",
  type =         "Research Report",
  number =       "161",
  institution =  "Department of Computer Science, University of
                 Warwick",
  address =      "Coventry CV4 7AL, UK",
  month =        "????",
  year =         "1990",
  bibdate =      "Wed Nov 12 09:55:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@TechReport{Chown:1990:VDP,
  author =       "Paul Chown",
  title =        "{VLSI} Design of a Pipelined {CORDIC} Processor",
  type =         "Research Report",
  number =       "164",
  institution =  "Department of Computer Science, University of
                 Warwick",
  address =      "Coventry CV4 7AL, UK",
  month =        "????",
  year =         "1990",
  bibdate =      "Wed Nov 12 09:55:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Cioffi:1990:FAR,
  author =       "J. M. Cioffi",
  title =        "The fast adaptive {ROTOR}'s {RLS} algorithm",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "38",
  number =       "4",
  pages =        "631--653",
  month =        apr,
  year =         "1990",
  CODEN =        "ITPRED",
  DOI =          "",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Wed Oct 29 10:50:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
}

@InProceedings{deLange:1990:ASI,
  author =       "A. A. J. de Lange and A. J. van der Hoeven and E. F.
                 Deprettere and P. Dewilde",
  booktitle =    "{[Proceedings] EURO ASIC `90}",
  title =        "An application specific {IC} for digital signal
                 processing: the floating point pipeline {CORDIC}
                 processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "62--67",
  year =         "1990",
  DOI =          "https://doi.org/10.1109/EASIC.1990.207911",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Array signal
                 processing; Digital integrated circuits; Digital signal
                 processing; Hardware; Pipelines; Radar antennas; Radar
                 signal processing; Signal processing algorithms;
                 Vectors",
}

@InProceedings{deLange:1990:RTA,
  author =       "A. A. J. de Lange and E. F. Deprettere and A. J. van
                 der Veen and J. Bu",
  editor =       "????",
  booktitle =    "{Proceedings of the ICASSP International Conference on
                 Acoustic, Speech, and Signal Processing, Albuquerque,
                 New Mexico, April 1990}",
  title =        "Real Time Applications of the Floating Point Pipeline
                 {CORDIC} Processor in Massive-Parallel Pipelined {DSP}
                 Algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1013--1016 (vol. 2)",
  year =         "1990",
  DOI =          "https://doi.org/10.1109/ICASSP.1990.116062",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  book-DOI =     "https://doi.org/10.1109/ICASSP.1990",
  keywords =     "Application software; Array signal processing;
                 Computer graphics; Digital arithmetic; Multiprocessing
                 systems; Pipelines; Speech processing; System testing;
                 Very large scale integration",
}

@InProceedings{Delosme:1990:CAF,
  author =       "Jean-Marc Delosme and S. H. Hsiao",
  editor =       "????",
  booktitle =    "{SPIE} Advanced Signal-Processing Algorithms,
                 Architectures and Implementations, San Diego, {CA},
                 July 1990",
  title =        "{CORDIC} algorithms in four dimensions",
  volume =       "1348",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "349--360",
  year =         "1990",
  bibdate =      "Wed Oct 29 07:29:25 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Deprettere:1990:SIS,
  author =       "E. F. Deprettere and A. A. J. de Lange and P.
                 Dewilde",
  booktitle =    "{IEEE International Symposium on Circuits and Systems,
                 New Orleans, LA, May 1990}",
  title =        "The synthesis and implementation of signal processing
                 applications specific {VLSI} {CORDIC} arrays",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "974--977 (vol. 2)",
  year =         "1990",
  DOI =          "https://doi.org/10.1109/ISCAS.1990.112264",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Array signal processing;
                 Computer graphics; Digital arithmetic; Pipelines;
                 Signal processing; Signal synthesis; Speech processing;
                 Speech synthesis; Very large scale integration",
}

@InProceedings{Dixon:1990:API,
  author =       "Gareth Dixon",
  booktitle =    "{IEE Colloquium on VLSI Signal Processing
                 Architectures, 31--31 May 1990, London, UK}",
  title =        "An array processor implementation of the {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "5/1--5/8",
  year =         "1990",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/190293/",
  acknowledgement = ack-nhfb,
  keywords =     "Digital signal processors; Parallel algorithms;
                 Pipeline processing",
}

@Article{Duh:1990:CRD,
  author =       "Wei-Jou Duh and Ja-Ling Wu",
  title =        "Constant-rotation {DCT} architecture based on {CORDIC}
                 techniques",
  journal =      j-INT-J-ELECTRON,
  volume =       "69",
  number =       "5",
  pages =        "583--593",
  month =        nov,
  year =         "1990",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207219008920343",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@Article{Ercegovac:1990:RLC,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  title =        "Redundant and on-line {CORDIC}: application to matrix
                 triangularization and {SVD}",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "39",
  number =       "6",
  pages =        "725--740",
  month =        jun,
  year =         "1990",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.53594",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Arithmetic; Bandwidth; Broadcasting; Computer
                 applications; Computer science; Delay; Matrix
                 decomposition; Singular value decomposition;
                 Throughput; Very large scale integration",
}

@InProceedings{Hu:1990:EVC,
  author =       "Yu Hen Hu and H. M. Chern",
  booktitle =    "{International Conference on Acoustics, Speech, and
                 Signal Processing, Albuquerque, New Mexico, April
                 1990}",
  title =        "An efficient {VLSI} {CORDIC} array structure
                 implementation of {Toeplitz} eigensystem solvers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1575--1578 (vol. 3)",
  year =         "1990",
  DOI =          "https://doi.org/10.1109/ICASSP.1990.115721",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Linear systems; Very large scale integration",
}

@Article{Hu:1990:NIC,
  author =       "Yu Hen Hu and S. Naganathan",
  title =        "A novel implementation of a chirp {$Z$}-transform
                 using a {CORDIC} processor",
  journal =      j-IEEE-TRANS-ACOUST-SPEECH,
  volume =       "38",
  number =       "2",
  pages =        "352--354",
  year =         "1990",
  CODEN =        "IETABA",
  DOI =          "https://doi.org/10.1109/29.103071",
  ISSN =         "0096-3518",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Acoustics, Speech, and Signal
                 Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=29",
  keywords =     "Acoustics; Chirp; Circuits; Concurrent computing;
                 Digital signal processing; Frequency; Least squares
                 approximation; Numerical analysis; Signal processing
                 algorithms; Very large scale integration",
}

@InProceedings{Hu:1990:VCA,
  author =       "Yu Hen Hu and H. M. Chen",
  editor =       "????",
  booktitle =    "{Proceedings ICASSP April 1990}",
  title =        "{VLSI CORDIC array} structure implementation of
                 {Toeplitz} eigensystem solvers",
  publisher =    "????",
  address =      "????",
  pages =        "1575--1578",
  year =         "1990",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 11:19:43 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Jarvis:1990:ICA,
  author =       "P. Jarvis",
  title =        "Implementing {CORDIC} Algorithms",
  journal =      j-DDJ,
  volume =       "15",
  number =       "10",
  pages =        "152--158",
  month =        oct,
  year =         "1990",
  CODEN =        "DDJOEB",
  ISSN =         "1044-789X",
  bibdate =      "Thu Sep 1 10:15:40 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nj,
  fjournal =     "Dr. Dobb's Journal of Software Tools",
}

@InProceedings{Konig:1990:OCA,
  author =       "D. K{\"o}nig and J. E. B{\"o}hme",
  editor =       "????",
  booktitle =    "Signal Processing V: Theories and Applications",
  title =        "Optimizing the {CORDIC} algorithm for processors with
                 pipeline architectures",
  publisher =    "Elsevier Science",
  address =      "Amsterdam, The Netherlands",
  pages =        "??--??",
  year =         "1990",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 07:56:45 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Kunemund:1990:CPC,
  author =       "R. Kunemund and H. Soldner and S. Wohlleben and T.
                 Noll",
  booktitle =    "{ESSCIRC '90: Sixteenth European Solid-State Circuits
                 Conference, 19--21 September 1990, Grenoble, France}",
  title =        "{CORDIC} Processor with Carry-Save Architecture",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "193--196",
  year =         "1990",
  DOI =          "",
  ISBN =         "2-86332-087-4",
  ISBN-13 =      "978-2-86332-087-7",
  LCCN =         "",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5467738/",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Circuit synthesis; Clocks; CMOS technology;
                 Equations; Frequency; Research and development; Signal
                 processing algorithms; Throughput; Zinc",
}

@PhdThesis{Lee:1990:RCT,
  author =       "Jeong-A Lee",
  title =        "Redundant {CORDIC}: Theory and its application to
                 matrix computations",
  type =         "{Ph.D.} thesis",
  school =       "University of California, Los Angeles",
  address =      "Los Angeles, CA, USA",
  pages =        "188",
  year =         "1990",
  ISBN-13 =      "979-82-07-53526-5",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/redundant-cordic-theory-application-matrix/docview/303819978/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Tomas Lang and Milos Ercegovac",
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Computer science; CORDIC; Electrical
                 engineering",
  ris-m1 =       "9115162",
}

@Article{Lin:1990:LCA,
  author =       "Hai Xiang Lin and Henk J. Sips",
  title =        "On-line {CORDIC} algorithms",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "39",
  number =       "8",
  pages =        "1038--1052",
  month =        aug,
  year =         "1990",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.57043",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Adders; Assembly; Circuits; Clocks; Delay; Digital
                 arithmetic; Hardware; Matrix decomposition; Singular
                 value decomposition; Throughput",
}

@Article{Metafas:1990:DPP,
  author =       "Dimitris E. Metafas and Costas E. Goutis",
  title =        "A {DSP} processor with a powerful set of elementary
                 arithmetic operations based on {CORDIC} and {CCM}
                 algorithms",
  journal =      j-MICROPROC-MICROPROG,
  volume =       "30",
  number =       "1--5",
  pages =        "51--57",
  month =        aug,
  year =         "1990",
  CODEN =        "MMICDT",
  DOI =          "https://doi.org/10.1016/0165-6074(90)90217-W",
  ISSN =         "0165-6074 (print), 1878-7061 (electronic)",
  ISSN-L =       "0165-6074",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Proceedings Euromicro 90: Hardware and Software in
                 System Engineering",
  URL =          "https://www.sciencedirect.com/science/article/pii/016560749090217W",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessing and Microprogramming",
  journal-URL =  "https://www.sciencedirect.com/journal/microprocessing-and-microprogramming/issues",
}

@InProceedings{Meyer:1990:APN,
  author =       "R. Meyer and R. Mehling",
  editor =       "????",
  booktitle =    "Proceedings of the {1990 International Conference on
                 Acoustics, Speech, and Signal Processing, Albuquerque,
                 New Mexico, 1990}",
  title =        "Architecture and Performance of a New Arithmetic Unit
                 for the Computation of Elementary Functions",
  publisher =    "????",
  address =      "????",
  pages =        "1783--1786",
  year =         "1990",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 10:00:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@MastersThesis{Muller:1990:HCA,
  author =       "Volker M{\"u}ller",
  title =        "{Hochgenaue CORDIC-Algorithmen f{\"u}r reelle
                 Standardfunktionen mittels dynamischer
                 Defektberechnung}. ({German}) [{High}-accuracy {CORDIC}
                 Algorithms for Real Elementary Functions by Means of
                 Dynamic Error Computation]",
  type =         "{Diplomarbeit}",
  school =       "Institut f{\"u}r angewandte Mathematik,
                 Universit{\"a}t Karlsruhe",
  address =      "Karlsruhe, Germany",
  pages =        "????",
  month =        dec,
  year =         "1990",
  bibdate =      "Fri Sep 16 16:30:40 1994",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 garbo.uwasa.fi:/pc/doc-soft/fpbiblio.txt;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nj,
  language =     "German",
}

@InProceedings{Naganathan:1990:ADS,
  author =       "S. Naganathan and Yu Hen Hu",
  editor =       "????",
  booktitle =    "Proceedings {ISCAS, IEEE Symposium on Circuits and
                 Systems, New Orleans, LA. May 1--3, 1990}",
  title =        "Architectural design styles in the {VLSI}
                 implementation of real {Discrete Fourier Transform}",
  publisher =    "????",
  address =      "????",
  pages =        "2316--2319",
  year =         "1990",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 12:40:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@PhdThesis{Naganathan:1990:DMI,
  author =       "S. Naganathan",
  title =        "Design Methodology for the Implementation of Rotaion
                 Based Algorithms Using a {CORDIC} Processor",
  type =         "{Ph.D.} thesis",
  school =       "Department of Electrical Engineering, Southern
                 Methodist University",
  address =      "Dallas, TX, USA",
  month =        mar,
  year =         "1990",
  bibdate =      "Wed Oct 29 12:43:06 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  OPTmonth =     "",
  OPTnote =      "",
}

@TechReport{Rader:1990:MSA,
  author =       "C. M. Rader and D. L. Allen and D. B. Glasco and C. E.
                 Woodward",
  title =        "{MUSE} --- a systolic array fur adaptive nulling with
                 64 degrees of freedom, using {Givens} transformations
                 and wafer scale integration",
  type =         "Technical report",
  number =       "886",
  institution =  "MIT Lincoln Laboratory",
  address =      "Lexington, MA, USA",
  month =        may,
  year =         "1990",
  bibdate =      "Wed Oct 29 13:06:56 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  OPTnote =      "",
}

@Article{Alippi:1991:DAV,
  author =       "Cesare Alippi",
  title =        "Determination of Angular Values and Parameters in Flat
                 Surfaces. {From} the Mathematical Approach to the
                 {CORDIC} Architecture",
  journal =      j-MICROPROC-MICROPROG,
  volume =       "32",
  number =       "1--5",
  pages =        "349--355",
  month =        aug,
  year =         "1991",
  CODEN =        "MMICDT",
  DOI =          "https://doi.org/10.1016/0165-6074(91)90369-5",
  ISSN =         "0165-6074 (print), 1878-7061 (electronic)",
  ISSN-L =       "0165-6074",
  bibdate =      "Wed Nov 12 10:25:50 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/0165607491903695",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessing and Microprogramming",
  journal-URL =  "https://www.sciencedirect.com/journal/microprocessing-and-microprogramming/issues",
}

@InProceedings{Bass:1991:BSF,
  author =       "S. C. Bass and G. M. Butler and R. L. Williams and F.
                 Barlos and D. R. Miller",
  booktitle =    "{[Proceedings] ICASSP 91: 1991 International
                 Conference on Acoustics, Speech, and Signal Processing,
                 Toronto, Canada, May 1991}",
  title =        "A bit-serial, floating point {CORDIC} processor in
                 {VLSI}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1165--1168 (vol. 2)",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ICASSP.1991.150583",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Circuits; CMOS technology;
                 Computer architecture; Equations; Hardware; Process
                 design; Signal processing; Signal processing
                 algorithms; Very large scale integration",
}

@InProceedings{Boehme:1991:CPA,
  author =       "Johann F. Boehme and D. Timmermann and H. Hahn and
                 Bedrich J. Hosticka",
  booktitle =    "{Proceedings of SPIE, San Diego, CA, July 1991}",
  title =        "{CORDIC} Processor Architectures",
  volume =       "1566",
  number =       "",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "208--219",
  month =        jul,
  year =         "1991",
  DOI =          "",
  bibdate =      "Wed Nov 12 10:28:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Chang:1991:SAD,
  author =       "L. W. Chang and S. W. Lee",
  title =        "Systolic Arrays for the {Discrete Hartley Transform}",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "29",
  number =       "11",
  pages =        "2411--2418",
  month =        nov,
  year =         "1991",
  CODEN =        "ITPRED",
  DOI =          "",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Wed Oct 29 10:42:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  OPTfjournal =  "",
  OPTjournal-url = "",
  OPTkeywords =  "",
  OPTnote =      "",
  OPTremark =    "",
}

@InProceedings{Chen:1991:EIN,
  author =       "S. G. Chen and J.-F. Lin",
  editor =       "????",
  booktitle =    "Proceedings of {ICASSP91}, Toronto, Canada, 1991",
  title =        "Efficient implementation of the normalized recursive
                 least square lattice filter",
  publisher =    "????",
  address =      "????",
  pages =        "1565--1568",
  year =         "1991",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 10:45:59 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{deLange:1991:DIF,
  author =       "A. A. J. de Lange and E. F. Deprettere",
  booktitle =    "{[1991] Proceedings 10th IEEE Symposium on Computer
                 Arithmetic}",
  title =        "Design and implementation of a floating-point
                 quasi-systolic general purpose {CORDIC} rotator for
                 high-rate parallel data and signal processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "272--281",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ARITH.1991.145571",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Array signal processing; Computer applications;
                 Computer graphics; Hardware; Image processing; Radar
                 applications; Radar signal processing; Signal
                 processing algorithms",
}

@InCollection{Duprat:1991:FVI,
  author =       "Jean Duprat and Jean-Michel Muller",
  booktitle =    "Algorithms and parallel {VLSI} architectures. Vol. B:
                 Proceedings of the international workshop,
                 Pont-{\`a}-Mousson, France, June 10-16, 1990",
  title =        "Fast {VLSI} implementation of {CORDIC} using
                 redundancy",
  publisher =    "Amsterdam: Elsevier",
  pages =        "155--164",
  year =         "1991",
  ISBN =         "0-444-89120-X; 0-444-89121-8",
  ISBN-13 =      "978-0-444-89120-4; 978-0-444-89121-1",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0800.68513",
  acknowledgement = ack-nhfb,
  keywords =     "68W35",
  ZBmath =       "125163",
}

@Article{Duprat:1991:WND,
  author =       "J. Duprat and Jean-Michel Muller",
  title =        "Writing numbers differently for faster calculation",
  journal =      j-TECHNIQUE-SCI-INFORMATIQUES,
  volume =       "10",
  number =       "3",
  pages =        "211--224",
  month =        "????",
  year =         "1991",
  CODEN =        "TTSIDJ",
  ISSN =         "0752-4072, 0264-7419",
  ISSN-L =       "0752-4072",
  bibdate =      "Tue Dec 12 09:20:21 MST 1995",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "Instead of Avizienis' or the carry save methods a
                 borrow save (BS) notation is proposed. Examples are
                 given of BS addition, subtraction, shifting and
                 multiplication with the necessary elementary cells
                 being proposed and circuits for testing zero and sign
                 being described. Floating point arithmetic is
                 explained, involving pseudo normalisation and
                 applications are covered including the Cordic
                 algorithm.",
  acknowledgement = ack-nhfb,
  affiliation =  "{\'E}cole Normale Sup{\'e}rieure de Lyon, France",
  classification = "C5230 (Digital arithmetic methods)",
  fjournal =     "Technique et science informatiques : TSI",
  keywords =     "Addition; Borrow save; Carry save methods; Cordic
                 algorithm; Floating point arithmetic; Multiplication;
                 Pseudo normalisation; Shifting; Subtraction; Zero",
  language =     "French",
  pubcountry =   "France",
  thesaurus =    "Digital arithmetic",
}

@InProceedings{Ferguson:1991:AMA,
  author =       "Warren E. {Ferguson, Jr.} and Tom Brightman",
  title =        "Accurate and Monotone Approximations of Some
                 Transcendental Functions",
  crossref =     "Kornerup:1991:PIS",
  pages =        "237--244",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ARITH.1991.145566",
  bibdate =      "Sat Nov 27 12:40:58 MST 2004",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://ieeexplore.ieee.org/document/145566",
  acknowledgement = ack-nj # " and " # ack-nhfb,
  keywords =     "CORDIC algorithms",
  remark =       "From page. 237: ``For example, an approximation of the
                 sine function on $ [ - \pi / 4, \pi / 4] $ that is
                 accurate to 66 bits of precision will necessarily be
                 monotonic when rounded to 64 bits of precision. We have
                 used this technique to establish the monotonicity of a
                 Cyrix FasMath coprocessor's polynomial based
                 approximations of transcendental functions [2]. Since
                 this technique does not depend on how the approximation
                 is determined, then it also can be applied to
                 approximations derived by other means, e.g.,, CORDIC
                 based approximations.''",
}

@InProceedings{Harding:1991:CRC,
  author =       "John A. Harding and Tom{\'a}s Lang and Jeong-A. Lee",
  editor =       "{IEEE}",
  booktitle =    "{Proceedings of the 1991 IEEE International Conference
                 on Computer Design --- VLSI in Computers and
                 Processors, 14--16 October 1991, Cambridge, MA}",
  title =        "A comparison of redundant {CORDIC} rotation engines",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  bookpages =    "xvi + 654",
  pages =        "556--559",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ICCD.1991.139972",
  ISBN =         "0-8186-2270-9 (print), 0-8186-2271-7 (microfiche),
                 0-8186-2272-5 (case)",
  ISBN-13 =      "978-0-8186-2270-0 (print), 978-0-8186-2271-7
                 (microfiche), 978-0-8186-2272-4 (case)",
  LCCN =         "TK7874 .I44 1991",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/139972/",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Arithmetic; CMOS
                 process; Computer architecture; Computer science;
                 Difference equations; Engines; Matrix decomposition;
                 Parallel architectures; Throughput",
}

@InProceedings{Harding:1991:RVS,
  author =       "John A. Harding and Tom{\'a}s Lang",
  booktitle =    "{[1991] Proceedings of the 34th Midwest Symposium on
                 Circuits and Systems}",
  title =        "A redundant variable scaling {CORDIC} rotation engine
                 implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "287--290 (vol. 1)",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/MWSCAS.1991.252043",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CMOS process; Computer
                 architecture; Computer science; Digital arithmetic;
                 Engines; Equations; Matrix decomposition; Parallel
                 architectures; Throughput",
}

@InProceedings{Hsiao:1991:CHA,
  author =       "Shen-Fu Hsiao and Jean-Marc Delosme",
  booktitle =    "{[1991] Proceedings 10th IEEE Symposium on Computer
                 Arithmetic}",
  title =        "The {CORDIC} {Householder} algorithm",
  crossref =     "Kornerup:1991:PIS",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "256--263",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ARITH.1991.145569",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/h/householder-alston-s.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith10/papers/ARITH10_Hsiao.pdf",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-10; Computer architecture; Digital arithmetic;
                 Eigenvalues and eigenfunctions",
}

@Article{Hu:1991:ERC,
  author =       "Xiabo Hu and Ronald G. Harber and Steven C. Bass",
  title =        "Expanding the range of convergence of the {CORDIC}
                 algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "40",
  number =       "1",
  pages =        "13--21",
  month =        jan,
  year =         "1991",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.67316",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Convergence of numerical methods; Digital arithmetic;
                 Equations; Hardware; Helium; Input variables; Iterative
                 algorithms; Robot control; Roundoff errors; Signal
                 processing algorithms",
}

@Article{Kikuchi:1991:DPP,
  author =       "Hisakazu Kikuchi and Makoto Nakashizuka and Hiromichi
                 Watanabe",
  title =        "Design of a Pipelined Plane-Rotation Algorithm Based
                 on the Error Analysis",
  journal =      "Electronics and Communications in {Japan}, Part {III}:
                 Fundamental Electronic Science",
  volume =       "74",
  number =       "??",
  pages =        "53--65",
  month =        "????",
  year =         "1991",
  DOI =          "",
  bibdate =      "Wed Nov 12 10:17:04 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@MastersThesis{Kitabjian:1991:IAC,
  author =       "David Hagop Kitabjian",
  title =        "An Investigation Into Angle Coding in the {CORDIC}
                 Algorithm",
  type =         "{M.S.} thesis",
  school =       "Drexel University",
  address =      "Philadelphia, PA, USA",
  pages =        "216",
  year =         "1991",
  ISBN-13 =      "979-83-8040-187-6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/investigation-into-angle-coding-cordic-algorithm/docview/2874659945/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Prabhakara Rao Chitrapu and Moshe Kam",
  keywords =     "0464:Computer Engineering; 0544:Electrical
                 engineering; Coding procedure; Computer engineering;
                 Computer simulations; CORDIC algorithm; Digital
                 hardware; Electrical engineering",
  ris-m1 =       "30754461",
}

@MastersThesis{Kota:1991:ANI,
  author =       "Kishore Kota",
  title =        "Architectural, numerical and implementation issues in
                 the {VLSI} design of an integrated {CORDIC-SVD}
                 processor",
  type =         "{M.S.} thesis",
  school =       "Rice University",
  address =      "Houston, TX, USA",
  pages =        "102",
  year =         "1991",
  ISBN-13 =      "979-82-05-92877-9",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/architectural-numerical-implementation-issues/docview/219894627/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Joseph R. Cavallaro",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "1345319",
}

@InProceedings{Lee:1991:DFT,
  author =       "Jeong-A Lee and Kiseon Kim",
  booktitle =    "{[1991] Proceedings. First Great Lakes Symposium on
                 VLSI}",
  title =        "{Discrete Fourier Transform} processors using
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "260--265",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/GLSV.1991.143976",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Band pass filters; Bit rate; Chirp;
                 Digital filters; Discrete Fourier transforms; Fast
                 Fourier transforms; Frequency measurement; Performance
                 analysis; Very large scale integration",
}

@InProceedings{Lee:1991:SCF,
  author =       "Jeong-A. Lee and Tom{\'a}s Lang",
  booktitle =    "{[1991] Proceedings 10th IEEE Symposium on Computer
                 Arithmetic}",
  title =        "{SVD} by {constant-factor-redundant-CORDIC}",
  crossref =     "Kornerup:1991:PIS",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "264--271",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ARITH.1991.145570",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith10/papers/ARITH10_Lee.pdf",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; ARITH-10;
                 Arithmetic; Computer architecture; Concurrent
                 computing; Difference equations; Parallel algorithms;
                 Throughput",
}

@InProceedings{Metafas:1991:FPP,
  author =       "D. E. Metafas and C. E. Goutis",
  booktitle =    "{1991 IEEE International Symposium on Circuits and
                 Systems (ISCAS), Singapore, Singapore, June 1991}",
  title =        "A floating point pipeline {CORDIC} processor with
                 extended operation set",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3066--3069 (vol. 5)",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ISCAS.1991.176195",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Convergence;
                 Digital signal processing; Equations; Hardware;
                 Iterative algorithms; Iterative methods; Pipelines;
                 Signal processing algorithms",
}

@InProceedings{Metafas:1991:VDB,
  author =       "D. E. Metafas and G. A. Krikis and C. E. Goutis",
  booktitle =    "{Euro ASIC '91}",
  title =        "{VLSI} design of an 8-bit fixed point {CORDIC}
                 processor with extended operation set",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "158--161",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/EUASIC.1991.212874",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; CMOS technology; Convergence; Digital
                 signal processing; Digital signal processing chips;
                 Equations; Hardware; Iterative methods; Signal
                 processing algorithms; Very large scale integration",
}

@Article{Pulskamp:1991:CCC,
  author =       "R. J. Pulskamp and J. A. Delaney",
  title =        "Computer and calculator computation of elementary
                 functions",
  journal =      j-UMAP,
  volume =       "12",
  number =       "??",
  pages =        "317--348",
  month =        "????",
  year =         "1991",
  DOI =          "",
  ISSN =         "0197-3622 (print), 1938-338X (electronic)",
  ISSN-L =       "0197-3622",
  bibdate =      "Wed Nov 12 05:57:15 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  fjournal =     "The UMAP Journal",
  keywords =     "CORDIC algorithms",
}

@Article{Takagi:1991:RCM,
  author =       "Naofumi Takagi and Tohru Asada and Shuzo Yajima",
  title =        "Redundant {CORDIC} methods with a constant scale
                 factor for sine and cosine computation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "40",
  number =       "9",
  pages =        "989--995",
  month =        sep,
  year =         "1991",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.83660",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "65-04 (65D20)",
  MRnumber =     "1125909",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1397.65008",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "65-04,65D20; Acceleration; Automatic control; Computer
                 graphics; Digital arithmetic; Digital systems;
                 Hardware; Matrix decomposition; Signal generators;
                 Singular value decomposition; Very large scale
                 integration",
  ZBmath =       "6937004",
}

@InProceedings{Timmermann:1991:LLT,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka",
  booktitle =    "{1991 IEEE International Symposium on Circuits and
                 Systems (ISCAS), Singapore, Singapore, May 1991}",
  title =        "A low latency time {CORDIC} algorithm with increased
                 parallelism",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2975--2978 (vol. 5)",
  year =         "1991",
  DOI =          "https://doi.org/10.1109/ISCAS.1991.176171",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits and systems; Computer architecture; Delay;
                 Equations; Hardware; Inspection; Iterative algorithms;
                 Microelectronics; Parallel processing; Vectors",
}

@Article{Timmermann:1991:NAS,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka and B. Rix",
  title =        "A new addition scheme and fast scaling factor
                 compensation methods for {CORDIC} algorithms",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "11",
  number =       "1",
  pages =        "85--100",
  month =        mar,
  year =         "1991",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/0167-9260(91)90008-9",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 08:32:38 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/0167926091900089",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Adders; algorithms; computer arithmetic; CORDIC;
                 elementary functions; number systems",
}

@Article{Timmermann:1991:PCC,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka and G. Schmidt",
  title =        "A programmable {CORDIC} chip for digital signal
                 processing applications",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "26",
  number =       "9",
  pages =        "1317--1321",
  year =         "1991",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/4.84950",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "Adders; Chip scale packaging; CMOS technology;
                 Computer architecture; Design optimization; Digital
                 signal processing chips; Fixed-point arithmetic;
                 Read-write memory; Registers; Signal processing
                 algorithms",
}

@InProceedings{Trandafir:1991:PCA,
  author =       "Titi Trandafir and Sorin Gheonea",
  editor =       "????",
  booktitle =    "{Proceedings of the 6th Mediterranean Electrotechnical
                 Conference, Ljubljana, Slovenia, Yugoslavia, May
                 1991}",
  title =        "Practical Considerations about the Evaluation of Some
                 Functions",
  publisher =    "????",
  address =      "????",
  pages =        "359--362",
  year =         "1991",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 10:24:38 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Tu:1991:ALA,
  author =       "Paul K.-G. Tu and Milo{\v{s}} D. Ercegovac",
  title =        "Application of on-line arithmetic algorithms to the
                 {SVD} computation: preliminary results",
  crossref =     "Kornerup:1991:PIS",
  pages =        "246--255",
  year =         "1991",
  bibdate =      "Sat Nov 27 12:40:58 MST 2004",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith10/papers/ARITH10_Tu.pdf",
  abstract =     "A scheme for the singular value decomposition (SVD)
                 problem, based on online arithmetic, is discussed. The
                 design, using radix-2 floating-point online operations,
                 implemented in the LSI HCMOS gate-array technology, is
                 compared with a compatible conventional arithmetic
                 implementation. The preliminary results indicate that
                 the proposed online approach achieves a speedup of
                 2.4-3.2 with respect to the conventional solutions,
                 with 1.3-5.5 more gates and more than 6 times fewer
                 interconnections.",
  acknowledgement = ack-nhfb,
  affiliation =  "IBM Corp., Austin, TX, USA",
  classification = "C5230 (Digital arithmetic methods)",
  keywords =     "ARITH-10; Floating-point online operations; Online;
                 Online arithmetic; Radix-2; Singular value
                 decomposition",
  thesaurus =    "Digital arithmetic",
}

@PhdThesis{Arjomand:1992:FPC,
  author =       "Ata'u'llah Arjomand",
  title =        "Fast Parallel Computation of the {Singular Value
                 Decomposition} of Real Matrices Using {CORDIC}
                 Arithmetic",
  type =         "{Ph.D.} thesis",
  school =       "Yale University",
  address =      "New Haven, CT, USA",
  pages =        "250",
  year =         "1992",
  ISBN-13 =      "979-82-08-71568-0",
  MRclass =      "99-05",
  MRnumber =     "2688864",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Thesis (Ph.D.)--Yale University",
  URL =          "https://www.proquest.com/dissertations-theses/fast-parallel-computation-singular-value/docview/304011601/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Jean-Marc Delosme",
  keywords =     "0405:Mathematics; 0544:Electrical engineering;
                 0984:Computer science; Applied sciences; Computer
                 science; Electrical engineering; Mathematics; Pure
                 sciences",
  ris-m1 =       "9314783",
  xxaddress =    "Ann Arbor, MI",
  xxpublisher =  "ProQuest LLC",
}

@Article{Bertrand:1992:CMF,
  author =       "Michael Bertrand",
  title =        "The {CORDIC} Method for Faster {\tt sin} and {\tt cos}
                 Calculations",
  journal =      j-CUJ,
  volume =       "10",
  number =       "11",
  pages =        "57--??",
  month =        nov,
  year =         "1992",
  ISSN =         "0898-9788",
  bibdate =      "Fri Aug 30 16:52:23 MDT 1996",
  bibsource =    "http://www.cuj.com/cbklist.htm;
                 http://www.math.utah.edu/pub/tex/bib/cccuj.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "C Users Journal",
}

@InProceedings{Dawid:1992:HSB,
  author =       "H. Dawid and H. Meyr",
  booktitle =    "{[1992] Proceedings of the International Conference on
                 Application Specific Array Processors, Berkeley, CA,
                 August 1992}",
  title =        "High speed bit-level pipelined architectures for
                 redundant {CORDIC} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "358--372",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ASAP.1992.218559",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Arithmetic; CMOS process;
                 Computer architecture; Computer graphics; Matrix
                 decomposition; Signal processing algorithms; Singular
                 value decomposition; Throughput; Very large scale
                 integration",
}

@InProceedings{Dawid:1992:VHS,
  author =       "Herbert Dawid and Heinrich Meyr",
  editor =       "Joost Vandewalle and Ren{\'e} Boite and M. Moonen and
                 Andr{\'e} Oosterlinck",
  booktitle =    "{Signal processing: theories and applications;
                 proceedings of EUSIPCO \ldots{} European Signal
                 Processing Conference. 1. Brussels, Belgium, August
                 24--27, 1992}",
  title =        "Very high speed {CORDIC} implementation: algorithm
                 transformation and novel carry-save architecture",
  publisher =    "Elsevier",
  address =      "Oxford, UK",
  pages =        "1561--1564",
  year =         "1992",
  DOI =          "https://doi.org/10.1016/B978-0-444-89587-5.50093-1",
  ISBN =         "0-444-89587-6",
  ISBN-13 =      "978-0-444-89587-5",
  LCCN =         "TK5102.5 .E9 1992",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/B9780444895875500931",
  acknowledgement = ack-nhfb,
}

@InProceedings{Dawid:1992:VIC,
  author =       "H. Dawid and H. Meyr",
  booktitle =    "{[Proceedings] 1992 IEEE International Symposium on
                 Circuits and Systems}",
  title =        "{VLSI} implementation of the {CORDIC} algorithm using
                 redundant arithmetic",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1089--1092 (vol. 3)",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ISCAS.1992.230290",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuits; Clocks; Computer architecture;
                 Delay; Force control; Frequency; Signal processing
                 algorithms; Throughput; Very large scale integration",
}

@Article{Hu:1992:CBV,
  author =       "Yu Hen Hu",
  title =        "{CORDIC}-based {VLSI} architectures for digital signal
                 processing",
  journal =      j-IEEE-SIGNAL-PROCESS-MAG,
  volume =       "9",
  number =       "3",
  pages =        "16--35",
  month =        jul,
  year =         "1992",
  CODEN =        "ISPRE6",
  DOI =          "https://doi.org/10.1109/79.143467",
  ISSN =         "1053-5888 (print), 1558-0792 (electronic)",
  ISSN-L =       "1053-5888",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Signal Processing Magazine",
  keywords =     "Arithmetic; Computer architecture; Digital filters;
                 Digital signal processing; Iterative algorithms;
                 Iterative methods; Signal processing algorithms; Very
                 large scale integration",
}

@InProceedings{Hu:1992:CCAa,
  author =       "Yu Hen Hu and H. E. Liao",
  booktitle =    "{[Proceedings] ICASSP-92: 1992 IEEE International
                 Conference on Acoustics, Speech, and Signal
                 Processing}",
  title =        "{CALF}: a {CORDIC} adaptive lattice filter",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "193--196 (vol. 4)",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ICASSP.1992.226453",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Arithmetic; Computational modeling;
                 Computer simulation; Drives; Equations; Hardware;
                 Lattices; Reflection; Very large scale integration",
}

@Article{Hu:1992:CCAb,
  author =       "Yu Hen Hu and H. E. Liao",
  title =        "{CALF}: a {CORDIC} adaptive lattice filter",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "40",
  number =       "4",
  pages =        "990--993",
  year =         "1992",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.127975",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Adaptive filters; Arithmetic; Computational modeling;
                 Computer errors; Computer simulation; Lattices;
                 Prediction algorithms; Reflection; Signal processing
                 algorithms; Very large scale integration",
}

@Article{Hu:1992:QEC,
  author =       "Yu Hen Hu",
  title =        "The quantization effects of the {CORDIC} algorithm",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "40",
  number =       "4",
  pages =        "834--844",
  month =        jul,
  year =         "1992",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.127956",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  MRclass =      "65D15 65G50 65Y10",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0752.65006",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "$ Q R $ factorization; Algorithm design and analysis;
                 Chirped Z-Transform (CZT); circular CORDIC; Computer
                 errors; Digital arithmetic; Discrete Cosine Transform
                 (DCT); Discrete Fourier Transform (DFT); Discrete
                 Hartley Transform (DHT); eigenvalue decomposition
                 (EVD); Fast Fourier Transform (FFT); hyperbolic CORDIC;
                 Iterative algorithms; Kalman filtering; linear CORDIC;
                 matrix based digital signal processing algorithms;
                 Process design; Quantization; Roundoff errors; Signal
                 processing algorithms; singular value decomposition
                 (SVD); Vectors; Very large scale integration",
  remark =       "This paper is often cited for its error estimates for
                 CORDIC algorithms. It also contains clear summaries of
                 algorithms that should be easily converted to computer
                 software.",
  ZBmath =       "58279",
}

@InProceedings{Kitabjian:1992:SAC,
  author =       "D. H. Kitabjian and P. R. Chitrapu",
  booktitle =    "{[Proceedings] ICASSP-92: 1992 IEEE International
                 Conference on Acoustics, Speech, and Signal
                 Processing}",
  title =        "The suboptimality of angle coding in the {CORDIC}
                 algorithm",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "421--424 (vol. 4)",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ICASSP.1992.226346",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Convergence; Equations; Error analysis; Iterative
                 algorithms; Quantization; Shape",
}

@InProceedings{Kota:1992:NSR,
  author =       "K. Kota and Joseph R. Cavallaro",
  booktitle =    "{[Proceedings] 1992 IEEE International Symposium on
                 Circuits and Systems}",
  title =        "A normalization scheme to reduce numerical errors in
                 inverse tangent computations on a fixed-point {CORDIC}
                 processor",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "244--247 (vol. 1)",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ISCAS.1992.229968",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Approximation error; Computer
                 errors; Digital arithmetic; Finite wordlength effects;
                 Fixed-point arithmetic; Hardware; Real time systems;
                 Signal processing algorithms; Very large scale
                 integration",
}

@Article{Lee:1992:CFR,
  author =       "J.-A. Lee and Tom{\'a}s Lang",
  title =        "Constant-factor redundant {CORDIC} for angle
                 calculation and rotation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "41",
  number =       "8",
  pages =        "1016--1025",
  month =        aug,
  year =         "1992",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.156544",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Computer architecture; Concurrent computing;
                 Convergence; Digital arithmetic; Digital signal
                 processing; Equations; Matrix decomposition; Parallel
                 algorithms; Signal processing algorithms; Throughput",
}

@InBook{Mertzios:1992:FIR,
  author =       "Vassilios Basil G. Mertzios and Stylianos S.
                 Scarlatos",
  booktitle =    "Robotic Systems",
  title =        "Fast Implementation of Robotic Manipulator Kinematics
                 using {CORDIC} and Systolic Processors",
  publisher =    "Springer Netherlands",
  pages =        "19--26",
  year =         "1992",
  DOI =          "https://doi.org/10.1007/978-94-011-2526-0_3",
  ISBN =         "94-011-2526-0",
  ISBN-13 =      "978-94-011-2526-0",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Peczalski:1992:HTC,
  author =       "A. Peczalski and P. Dietrich and R. Mactaggart and D.
                 Grider",
  booktitle =    "{[1992] Proceedings IEEE/AIAA 11th Digital Avionics
                 Systems Conference}",
  title =        "High-throughput {CORDIC} coprocessor for signal and
                 display processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "564--567",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/DASC.1992.282100",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Application specific integrated
                 circuits; Computer displays; Coprocessors; Gallium
                 arsenide; HEMTs; MODFETs; Radar applications; Signal
                 processing algorithms; Throughput",
}

@InProceedings{Rader:1992:MSA,
  author =       "C. M. Rader",
  editor =       "????",
  booktitle =    "{Proceedings of the International Conference on
                 Application Specific Array Processors, Berkeley, CA,
                 August 1992}",
  title =        "{MUSE} --- a Systolic Array for Adaptive Nulling with
                 64 Degrees of Freedom, Using {Givens} Transformations
                 and Wafer Scale Integration",
  publisher =    "????",
  address =      "????",
  pages =        "277--291",
  year =         "1992",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 10:32:36 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Rix:1992:CBF,
  author =       "B. Rix and Dirk Timmermann and Helmut Hahn and Bedrich
                 J. Hosticka",
  booktitle =    "{1992 Proceedings of the IEEE Custom Integrated
                 Circuits Conference}",
  title =        "A {CORDIC}-based Floating-point Arithmetic Unit",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "30.3.1--30.3.4",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/CICC.1992.591874",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Floating-point arithmetic; Generators;
                 Microelectronics; Pipelines; Registers; Routing;
                 Throughput; Transistors; Vectors",
}

@InProceedings{Rix:1992:CFA,
  author =       "B. Rix and Dirk Timmermann and Helmut Hahn and Bedrich
                 J. Hosticka",
  title =        "A {CORDIC}-based floating-point arithmetic unit",
  crossref =     "IEEE:1992:PIC",
  bookpages =    "766",
  pages =        "30.3/1--4",
  year =         "1992",
  bibdate =      "Tue Dec 12 09:27:13 MST 1995",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "A floating-point arithmetic unit based on the CORDIC
                 algorithm is described. It computes a wide range of
                 arithmetic, trigonometric, and hyperbolic functions and
                 achieves a normalized peak performance of 220 MFLOPS.
                 The unit is implemented in 1.6- mu m double-metal CMOS
                 technology and packaged in a 280-pin PGA.",
  acknowledgement = ack-nhfb,
  affiliation =  "Department of Electr. Eng., Duisburg University,
                 Germany",
  classification = "B1265F (Microprocessors and microcomputers); B2570D
                 (CMOS integrated circuits); C5135 (Digital signal
                 processing chips); C5230 (Digital arithmetic methods);
                 C5260 (Digital signal processing)",
  keywords =     "1.6 Micron; 220 MFLOPS; 280-Pin PGA; CORDIC algorithm;
                 Double-metal CMOS technology; DSP; Floating-point
                 arithmetic unit; Hyperbolic functions; Trigonometric
                 functions",
  numericalindex = "Computer speed 2.2E+08 FLOPS; Size 1.6E-06 m",
  thesaurus =    "CMOS integrated circuits; Digital arithmetic; Digital
                 signal processing chips",
}

@InProceedings{Timmermann:1992:ALE,
  author =       "Dirk Timmermann and I. Sundsbo",
  booktitle =    "{[Proceedings] 1992 IEEE International Symposium on
                 Circuits and Systems}",
  title =        "Area and latency efficient {CORDIC} architectures",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1093--1096 (vol. 3)",
  year =         "1992",
  DOI =          "https://doi.org/10.1109/ISCAS.1992.230289",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Circuits and systems; Delay;
                 Hardware; Image processing; Microelectronics;
                 Pipelines; Power dissipation; Signal processing
                 algorithms; Silicon",
}

@Article{Timmermann:1992:LLT,
  author =       "Dirk Timmermann and Helmut Hahn and Bedrich J.
                 Hosticka",
  title =        "Low Latency Time {CORDIC} Algorithms",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "41",
  number =       "8",
  pages =        "1010--1015",
  month =        aug,
  year =         "1992",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.156543",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb # " and " # ack-nj,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Adders; Computer architecture; Delay; Digital
                 arithmetic; Equations; Hardware; Iterative algorithms;
                 Parallel processing; Vectors",
}

@Article{Bruguera:1993:DPR,
  author =       "J. D. Bruguera and E. Antelo and E. L. Zapata",
  title =        "Design of a pipelined radix 4 {CORDIC} processor",
  journal =      j-PARALLEL-COMPUTING,
  volume =       "19",
  number =       "7",
  pages =        "729--744",
  year =         "1993",
  CODEN =        "PACOEJ",
  DOI =          "https://doi.org/10.1016/0167-8191(93)90061-O",
  ISSN =         "0167-8191 (print), 1872-7336 (electronic)",
  ISSN-L =       "0167-8191",
  MRclass =      "68M99 68W35",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/016781919390061O",
  ZMnumber =     "0774.68019",
  acknowledgement = ack-nhfb,
  fjournal =     "Parallel Computing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/01678191",
  keywords =     "Digital signal processing; pipelining; redundant
                 arithmetic; signed-digit adders; {CORDIC} algorithm",
  ZBmath =       "428564",
}

@InCollection{Deprettere:1993:SFCa,
  author =       "E. F. Deprettere",
  booktitle =    "Linear Algebra for Large Scale and Real-Time
                 Applications",
  title =        "Subband Filtering: {CORDIC} Modulation and Systolic
                 Quadrature Mirror Filter Tree",
  publisher =    "Springer Netherlands",
  pages =        "69--89",
  year =         "1993",
  DOI =          "https://doi.org/10.1007/978-94-015-8196-7_5",
  ISBN =         "94-015-8196-7",
  ISBN-13 =      "978-94-015-8196-7",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Deprettere:1993:SFCb,
  author =       "E. F. Deprettere and R. Heusdens and H. Theunis",
  booktitle =    "{Proceedings of International Conference on
                 Application Specific Array Processors (ASAP '93)}",
  title =        "Subband filtering: {CORDIC} modulation and systolic
                 quadrature mirror filter tree",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "109--123",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ASAP.1993.397125",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Filter bank; Filtering algorithms; Fourier
                 transforms; Mirrors; Parallel algorithms; Signal
                 analysis; Signal processing algorithms; Signal
                 synthesis; Transfer functions",
}

@Article{Duprat:1993:CAN,
  author =       "Jean Duprat and Jean-Michel Muller",
  title =        "The {CORDIC} Algorithm: New Results for Fast {VLSI}
                 Implementation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "42",
  number =       "2",
  pages =        "168--178",
  month =        feb,
  year =         "1993",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.204786",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  note =         "See comments and corrections \cite{Phatak:1998:CDM}.",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=204786",
  acknowledgement = ack-nj # "\slash " # ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Arithmetic; Calculators; Coprocessors; Delay;
                 Filtering; Hardware; Nonlinear filters; Signal
                 processing algorithms; Very large scale integration",
  remark =       "From the introduction: ``The CORDIC algorithm was
                 introduced in 1959 by Volder \cite{Volder:1959:CTC}. In
                 Volder's version, CORDIC makes it possible to perform
                 rotations (and therefore to compute sine, cosine, and
                 arctangent functions) and to multiply or divide
                 numbers, using only shift-and-add elementary steps. In
                 1971, Walther \cite{Walther:1971:UAE} generalized this
                 algorithm in order to compute logarithms, exponentials,
                 and square roots. CORDIC is not the fastest way to
                 perform multiplications or to compute logarithms and
                 exponentials, but, since the same algorithm enables the
                 computation of most mathematical functions using basic
                 operations of the form $ a \pm b 2^{-i} $, it is
                 attractive for hardware implementations.''",
}

@Article{Fowkes:1993:HEA,
  author =       "Raymond E. Fowkes",
  title =        "Hardware Efficient Algorithms for Trigonometric
                 Functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "42",
  number =       "2",
  pages =        "235--239",
  month =        feb,
  year =         "1993",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.204796",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Thu Jul 7 07:58:47 MDT 2011",
  bibsource =    "ftp://garbo.uwasa.fi/pc/doc-soft/fpbibl18.zip;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=204796",
  acknowledgement = ack-nj # "\slash " # ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "CORDIC algorithms",
}

@InProceedings{Gotze:1993:CBJ,
  author =       "J{\"u}rgen G{\"o}tze and Steffen Paul and Matthias
                 Sauer",
  booktitle =    "{1993 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing}",
  title =        "A {CORDIC}-based {Jacobi}-like algorithm for
                 eigenvalue computation",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "296--299 (vol. 3)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ICASSP.1993.319495",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit synthesis; Concurrent computing; Convergence;
                 Eigenvalues and eigenfunctions; Electronic mail;
                 Jacobian matrices; Reflection; Runtime; Symmetric
                 matrices; Very large scale integration",
}

@InProceedings{Guo:1993:CBV,
  author =       "Jiun-In Guo and Chi-Min Liu and Chein-Wei Jen",
  booktitle =    "{1993 IEEE International Symposium on Circuits and
                 Systems (ISCAS), Chicago, IL, 1993}",
  title =        "A {CORDIC}-based {VLSI} array for computing {2D}
                 discrete {Hartley} transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1571--1574 (vol. 3)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ISCAS.1993.394037",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computational
                 complexity; Computer science; DH-HEMTs; Discrete cosine
                 transforms; Discrete Fourier transforms; Discrete
                 transforms; Hardware; Kernel; Very large scale
                 integration",
}

@InProceedings{Hekstra:1993:FPC,
  author =       "Gerben J. Hekstra and Ed F. A. Deprettere",
  booktitle =    "{Proceedings of IEEE 11th Symposium on Computer
                 Arithmetic}",
  title =        "Floating Point {CORDIC}",
  crossref =     "Swartzlander:1993:SCA",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "130--137",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ARITH.1993.378100",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith11/papers/ARITH11_Hekstra.pdf",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-11; Clocks; Computer architecture; Equations;
                 Floating-point arithmetic; Hardware; Vectors",
}

@InProceedings{Hemkumar:1993:ECM,
  author =       "Nariankadu D. Hemkumar and Joseph R. Cavallaro",
  booktitle =    "{Proceedings of IEEE 11th Symposium on Computer
                 Arithmetic}",
  title =        "Efficient complex matrix transformations with
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "122--129",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ARITH.1993.378101",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Concurrent computing; Convergence; Eigenvalues and
                 eigenfunctions; Iterative algorithms; Jacobian
                 matrices; Matrix decomposition; Parallel algorithms;
                 Parallel architectures; Signal processing algorithms;
                 Singular value decomposition",
}

@PhdThesis{Hsiao:1993:MDC,
  author =       "Shen-Fu Hsiao",
  title =        "Multi-dimensional {CORDIC} algorithms",
  type =         "{Ph.D.} thesis",
  school =       "Yale University",
  address =      "New Haven, CT, USA",
  pages =        "214",
  year =         "1993",
  ISBN-13 =      "979-82-08-49678-7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/multi-dimensional-cordic-algorithms/docview/304066755/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Jean-Marc Delosme",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering; non-Euclidean space; VLSI",
  ris-m1 =       "9415862",
}

@Article{Hu:1993:ARM,
  author =       "Yu Hen Hu and S. Naganathan",
  title =        "An angle recoding method for {CORDIC} algorithm
                 implementation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "42",
  number =       "1",
  pages =        "99--102",
  month =        jan,
  year =         "1993",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.192217",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=192217",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Application software; Chirp; Computational modeling;
                 CORDIC algorithms; Digital arithmetic; Digital signal
                 processing; Fast Fourier transforms; Greedy algorithms;
                 Hardware; Iterative algorithms; Signal processing
                 algorithms",
}

@Article{Hu:1993:EIS,
  author =       "X. Hu and S. C. Bass and R. G. Harber",
  title =        "An efficient implementation of singular value
                 decomposition rotation transformations with {CORDIC}
                 processors",
  journal =      j-J-PAR-DIST-COMP,
  volume =       "17",
  number =       "4",
  pages =        "360--362",
  year =         "1993",
  CODEN =        "JPDCER",
  DOI =          "https://doi.org/10.1006/jpdc.1993.1034",
  ISSN =         "0743-7315 (print), 1096-0848 (electronic)",
  ISSN-L =       "0743-7315",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0769.65017",
  acknowledgement = ack-nhfb,
  ajournal =     "J. Parallel Distrib. Comput.",
  fjournal =     "Journal of Parallel and Distributed Computing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/07437315",
  keywords =     "65F15,65Y10",
  ZBmath =       "222378",
}

@Article{Hu:1993:FAR,
  author =       "Yu Hen Hu",
  title =        "A Forward Angle Recoding {CORDIC} Algorithm and
                 Pipelined Processor Array Structure for Digital Signal
                 Processing",
  journal =      j-DIGIT-SIGNAL-PROCESS,
  volume =       "3",
  number =       "1",
  pages =        "2--15",
  year =         "1993",
  CODEN =        "DSPREJ",
  DOI =          "https://doi.org/10.1006/dspr.1993.1002",
  ISSN =         "1051-2004 (print), 1095-4333 (electronic)",
  ISSN-L =       "1051-2004",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S105120048371002X",
  acknowledgement = ack-nhfb,
  fjournal =     "Digital Signal Processing",
  journal-URL =  "https://www.sciencedirect.com/journal/digital-signal-processing",
}

@InProceedings{Hu:1993:NES,
  author =       "Xiaobo Hu and Steven C. Bass",
  booktitle =    "{1993 IEEE International Symposium on Circuits and
                 Systems}",
  title =        "A neglected error source in the {CORDIC} algorithm",
  crossref =     "Swartzlander:1993:SCA",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "766--769 (vol. 1)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ISCAS.1993.393834",
  bibdate =      "Wed Nov 12 10:45:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Computer errors; Computer science; Cost
                 function; Digital arithmetic; Error analysis; Hardware;
                 Iterative algorithms; Laboratories; Signal processing
                 algorithms",
}

@Article{Jones:1993:BSC,
  author =       "Keith John Jones",
  title =        "Bit-serial {CORDIC} {DFT} computation with
                 multidimensional systolic processor arrays",
  journal =      "IEEE Journal of Oceanic Engineering",
  volume =       "18",
  number =       "4",
  pages =        "508--519",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/48.262301",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Discrete Fourier transforms;
                 Filtering algorithms; Hypercubes; Multidimensional
                 systems; Pipeline processing; Signal processing; Signal
                 processing algorithms; Sonar; Very large scale
                 integration",
}

@TechReport{Juffa:1993:EYA,
  author =       "Norbert Juffa",
  title =        "Everything You Always Wanted to Know about Math
                 Coprocessors",
  type =         "Report",
  institution =  "????",
  address =      "????",
  month =        jan,
  year =         "1993",
  bibdate =      "Thu Nov 13 06:08:13 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://dougx.net/gaming/coproc.html",
  acknowledgement = ack-nhfb,
  remark-1 =     "From the report: ``Unlike Intel's coprocessors, which
                 use the CORDIC algorithm to compute the transcendental
                 functions, Cyrix uses polynomial and rational
                 approximations to the functions.''",
  remark-2 =     "From the report: ``The Cyrix 83D87 uses a fast array
                 multiplier, making its transcendental functions faster
                 than those of any other 387 compatible coprocessor. It
                 also uses 75 bit for the mantissa in intermediate
                 calculations (as opposed to 68 bits on other
                 coprocessors), making its transcendental functions more
                 accurate than those of any other coprocessor or FPU.''",
  remark-3 =     "From the report: ``The Weitek Abacus 3167 and 4167
                 coprocessors are 'mostly compatible' with IEEE-754.
                 \ldots{} One of the most notable omissions is the
                 missing support for denormal numbers; denormals are
                 always flushed to zero on Weitek chips.''",
  remark-4 =     "This is an interesting comparison, made a dozen years
                 after the Intel 8087 floating-point coprocessor
                 appeared on the market, of pin-compatible chips from
                 Intel and others. The 8087 uses CORDIC algorithms for
                 all of its elementary functions, and does the job in
                 about 64K transistors, with 67-bit significands. That
                 gives three additional bits over the 64 needed for the
                 IEEE 754 binary80 format, and they serve as the Guard,
                 Round, and Sticky bits needed to implement the four
                 required rounding modes. Measured worst-case errors in
                 the functions are below 3 units in the last place
                 (ulps).\par

                 The transcendental functions offered in hardware on the
                 8087 have restricted argument ranges, and thus require
                 a software interface to carry out range reduction of
                 general arguments before the chip instructions can be
                 used.\par

                 Juffa reports that the Cyrix FasMath CX-83D87
                 coprocessor instead uses rational polynomial
                 representations of the elementary functions, with
                 75-bit significands. Its accuracy is superior to all of
                 its competitors: Cyrix claimed that results are almost
                 always within 0.5 ulp of the infinitely precise exact
                 value, and thus, are almost always correctly
                 rounded.\par

                 Thanks to semiconductor density improvements, the Intel
                 486DX, introduced in 1989, put the coprocessor on the
                 same chip as the CPU, more than tripling floating-point
                 performance over the previous generation Intel 387DX.
                 By the mid 1990s, floating-point support was available
                 in all major commercial CPUs, but in some, such as the
                 DEC Alpha, it takes a major part of the chip
                 area.\par

                 Although not mentioned in this article, Motorola's
                 68881 and 68882 coprocessors offered similar
                 floating-point hardware instructions as the Intel 8087,
                 but later CPUs from ARM, DEC (Alpha), HP (HPPA), IBM
                 (POWER, PowerPC, s390x, and z-Series), Loongson, MIPS,
                 RISC-V, and Sun/Fujitsu SPARC. and also the Intel i860,
                 omit the elementary functions, requiring their
                 implementation in software.",
}

@Article{Kota:1993:NAH,
  author =       "K. Kota and Joseph R. Cavallaro",
  title =        "Numerical accuracy and hardware tradeoffs for {CORDIC}
                 arithmetic for special-purpose processors",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "42",
  number =       "7",
  pages =        "769--779",
  month =        jul,
  year =         "1993",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.237718",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Application software; Computer architecture; Computer
                 errors; Digital arithmetic; Digital signal processing
                 chips; Error analysis; Hardware; Navigation; Signal
                 processing algorithms; Very large scale integration",
  remark-1 =     "From page 770, column 1: ``Since the accuracy of
                 inverse tangent calculations has a significant impact
                 on the system we are designing, we studied the problem
                 and showed that the errors in inverse tangent
                 computations using fixed-point CORDIC can be large
                 enough to be unacceptable.''",
  remark-2 =     "From page 773, column 2: ``\ldots{} the error in
                 inverse tangent computations in a fixed-point CORDIC
                 unit is always less than $ \log((n + 5)/2) $ bits.''",
  remark-3 =     "From page 724, column 1, about the errors in the
                 computed inverse tangent: ``If all data paths are m
                 bits wide, then the precision of $z$-data path $\mu$
                 equals $ 2^{-(m - 2)} $ Hence, the above error
                 translates to less than $ 5 n \mu$.''",
}

@Article{Mazenc:1993:CFU,
  author =       "Christophe Mazenc and Xavier Merrheim and Jean-Michel
                 Muller",
  title =        "Computing functions $ \cos^{-1} $ and $ \sin^{-1} $
                 using {CORDIC}",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "42",
  number =       "1",
  pages =        "118--122",
  month =        jan,
  year =         "1993",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.192222",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Thu Jul 7 07:58:47 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=192222",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Arithmetic; Array signal processing; Concurrent
                 computing; Instruments; Logic arrays; Signal processing
                 algorithms; Silicon compounds; Systolic arrays;
                 Throughput; Very large scale integration",
  remark =       "From the abstract: ``a slight modification of the
                 algorithm enables the computation of the functions $
                 \acos (x) $, $ \asin (x) $, $ \sqrt {1 - t^2} $, $
                 \acosh (x) $, $ \asinh (x) $, and $ \sqrt {1 + t^2}
                 $.''",
}

@Article{Metafas:1993:IGR,
  author =       "D. E. Metafas and E. P. Mariatos and S. S. Nikolaidis
                 and C. E. Goutis",
  title =        "Implementation of {Givens}' Rotation processors for
                 {DSP} real-time applications",
  journal =      j-MICROPROC-MICROPROG,
  volume =       "38",
  number =       "1--5",
  pages =        "351--357",
  month =        sep,
  year =         "1993",
  CODEN =        "MMICDT",
  DOI =          "https://doi.org/10.1016/0165-6074(93)90166-i",
  ISSN =         "0165-6074 (print), 1878-7061 (electronic)",
  ISSN-L =       "0165-6074",
  bibdate =      "Wed Nov 12 11:56:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessing and Microprogramming",
  journal-URL =  "https://www.sciencedirect.com/journal/microprocessing-and-microprogramming/issues",
  keywords =     "CORDIC algorithms; Givens Rotation Processor",
}

@Article{Metafas:1993:RTC,
  author =       "Dimitris E. Metafas and S. S. Nikolaidis and C. E.
                 Goutis",
  title =        "Real Time Cepstrum Computation Based on an Advanced
                 {CORDIC} Processor",
  journal =      j-MICROPROC-MICROPROG,
  volume =       "37",
  number =       "1--5",
  pages =        "57--60",
  month =        jan,
  year =         "1993",
  CODEN =        "MMICDT",
  DOI =          "https://doi.org/10.1016/0165-6074(93)90015-D",
  ISSN =         "0165-6074 (print), 1878-7061 (electronic)",
  ISSN-L =       "0165-6074",
  bibdate =      "Wed Nov 12 10:48:12 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/abs/pii/016560749390015D",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessing and Microprogramming",
  journal-URL =  "https://www.sciencedirect.com/journal/microprocessing-and-microprogramming/issues",
}

@InProceedings{Nikolaidis:1993:CBP,
  author =       "S. S. Nikolaidis and D. E. Metafas and C. E. Goutis",
  booktitle =    "{Proceedings of the 1993 IEEE International Symposium
                 on Circuits and Systems, Chicago, IL, 1993}",
  title =        "{CORDIC} based pipeline architecture for all-pass
                 filters7",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1917--1920 (vol. 3)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ISCAS.1993.394124",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Digital filters; Filter bank; Filtering; Frequency
                 response; Laboratories; Lattices; Pipelines; Signal
                 processing; Transfer functions; Very large scale
                 integration",
}

@InProceedings{Panescu:1993:FPC,
  author =       "D. Panescu and Yu Hen Hu and W. J. Tompkins",
  booktitle =    "{1993 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing, Minneapolis, MN, 1993}",
  title =        "A fast pipelined {CORDIC}-based adaptive lattice
                 filter",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "424--427 (vol. 3)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ICASSP.1993.319525",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Adaptive signal detection; Delay;
                 Error correction; Lattices; Prediction algorithms;
                 Reflection; Signal analysis; Throughput; Very large
                 scale integration",
}

@Article{Risse:1993:ACP,
  author =       "W. Risse and A. Kecskem{\'e}thy and M. Hiller",
  title =        "{Anwendung von CORDIC-Prozessoren bei der inversen
                 Kinematik von Mechanismen}. ({German}) [{Application}
                 of {CORDIC} processors in the inverse kinematics of
                 mechanisms]",
  journal =      j-Z-ANGE-MATH-MECH,
  volume =       "73",
  number =       "4--5",
  pages =        "t220--t222",
  year =         "1993",
  CODEN =        "ZAMMAX",
  ISSN =         "0044-2267 (print), 1521-4001 (electronic)",
  ISSN-L =       "0044-2267",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0800.70031",
  acknowledgement = ack-nhfb,
  fjournal =     "Zeitschrift f{\"u}r Angewandte Mathematik und Mechanik
                 (ZAMM)",
  journal-URL =  "http://onlinelibrary.wiley.com/journal/10.1002/(ISSN)1521-4001",
  keywords =     "70B15,53A17,70-08",
  language =     "German",
  ZBmath =       "584327",
}

@InProceedings{Sauer:1993:BSC,
  author =       "Matthias Sauer and Ernst Bernard and Josef A. Nossek",
  booktitle =    "{1993 IEEE International Symposium on Circuits and
                 Systems}",
  title =        "Block sequential {CORDIC} architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1591--1594 (vol. 3)",
  year =         "1993",
  DOI =          "https://doi.org/10.1109/ISCAS.1993.394042",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Bandwidth; Circuits; Clocks; Computer
                 architecture; Delay; Hardware; Process design; Vectors;
                 Very large scale integration",
}

@InProceedings{Anuradha:1994:CBP,
  author =       "V. K. Anuradha and V. Visvanathan",
  booktitle =    "{Proceedings of 7th International Conference on VLSI
                 Design}",
  title =        "A {CORDIC} based programmable {DXT} processor array",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "343--348",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/ICVD.1994.282716",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Communication switching; Computer
                 architecture; DH-HEMTs; Discrete cosine transforms;
                 Discrete transforms; Equations; Integrated circuit
                 interconnections; Switches; Very large scale
                 integration",
}

@Article{Arguello:1994:PAF,
  author =       "F. Arguello and J. D. Bruguera and R. Doallo and E. L.
                 Zapata",
  title =        "Parallel architecture for fast transforms with
                 trigonometric kernel",
  journal =      j-IEEE-TRANS-PAR-DIST-SYS,
  volume =       "5",
  number =       "10",
  pages =        "1091--1099",
  month =        oct,
  year =         "1994",
  CODEN =        "ITDSEO",
  ISSN =         "1045-9219 (print), 1558-2183 (electronic)",
  ISSN-L =       "1045-9219",
  bibdate =      "Fri Apr 11 15:20:39 MDT 1997",
  bibsource =    "Compendex database;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranspardistsys.bib",
  acknowledgement = ack-nhfb,
  affiliation =  "Universidad Santiago de Compostela",
  affiliationaddress = "Santiago de Compostela, Spain",
  classification = "722.1; 722.4; 723.1; 921.3; C4190 (Other numerical
                 methods); C4230M (Multiprocessor interconnection);
                 C4240P (Parallel programming and algorithm theory);
                 C5220P (Parallel architecture); C5440 (Multiprocessor
                 systems and techniques)",
  corpsource =   "Dept. of Electron., Santiago de Compostela Univ.,
                 Spain",
  fjournal =     "IEEE Transactions on Parallel and Distributed
                 Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=71",
  journalabr =   "IEEE Trans Parallel Distrib Syst",
  keywords =     "Algorithms; Approximation theory; architectures;
                 butterflies; Cellular arrays; circular CORDIC rotator;
                 Complex Valued Fourier; computation; Computational
                 methods; Computer architecture; Computer operating
                 systems; constant; Constant geometry architecture;
                 CORDIC rotator; Cosine Transform; cycle loss; data
                 recirculations; Fast Fourier transforms; fast
                 orthogonal transforms; fast transforms; Fast
                 transforms; Fourier transforms; Geometry; geometry
                 flow; hardware; Hartley Transform; interconnection
                 networks; kernel; mathematics computing; modification;
                 multiprocessor; parallel; parallel algorithms; parallel
                 architecture; Parallel processing systems; partitioned
                 parallel architecture; perfect unshuffle; Pipeline
                 processing systems; Real Valued Fourier Transform;
                 shuffle; Successive doubling algorithms; successive
                 doubling method; systolic; systolic array; Systolic
                 array processors; Transform; transforms; trigonometric;
                 Trigonometric kernels",
  treatment =    "P Practical; T Theoretical or Mathematical",
}

@Article{Bajard:1994:BNH,
  author =       "Jean-Claude Bajard and Sylvanus Kla and Jean-Michel
                 Muller",
  title =        "{BKM}: a New Hardware Algorithm for Complex Elementary
                 Functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "43",
  number =       "8",
  pages =        "955--963",
  month =        aug,
  year =         "1994",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.295857",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "68M07",
  MRnumber =     "1 294 301",
  bibdate =      "Sat Jan 11 17:44:01 MST 1997",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib;
                 OCLC Proceedings database",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=295857",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  remark =       "Selected revised and extended papers from ARITH'11
                 \cite{Swartzlander:1993:SCA}.",
}

@InCollection{Clarke:1994:RAC,
  author =       "C. T. Clarke and G. R. Nudd",
  booktitle =    "Mathematics in signal processing {III}. Based on the
                 proceedings of the third {IMA} conference on signal
                 processing, University of Warwick, Coventry, {UK},
                 December 15-17, 1992",
  title =        "A redundant arithmetic {CORDIC} system with a unit
                 scale factor",
  publisher =    "Oxford: Clarendon Press",
  pages =        "63--71",
  year =         "1994",
  ISBN =         "0-19-853480-9",
  ISBN-13 =      "978-0-19-853480-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0852.65141",
  acknowledgement = ack-nhfb,
  keywords =     "65T40,65D20,33B10",
  ZBmath =       "922639",
}

@Article{Hahn:1994:UDF,
  author =       "Helmut Hahn and Dirk Timmermann and Bedrich J.
                 Hosticka and Bernold Rix",
  title =        "A unified and division-free {CORDIC} argument
                 reduction method with unlimited convergence domain
                 including inverse hyperbolic functions.",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "43",
  number =       "11",
  pages =        "1339--1344",
  month =        nov,
  year =         "1994",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.324568",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1068.68511",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68M07; Computer architecture; Convergence; Digital
                 arithmetic; Digital signal processing; Hardware;
                 Iterative algorithms; Iterative methods; Pipelines;
                 Signal processing algorithms; Very large scale
                 integration",
  ZBmath =       "1964186",
}

@InCollection{Hemkumar:1994:JLM,
  author =       "Nariankadu D. Hemkumar and Joseph R. Cavallaro",
  booktitle =    "Applied linear algebra. Proceedings of the {5th SIAM
                 conference, held in Snowbird, UT, USA, June 15--18,
                 1994}",
  title =        "{Jacobi}-like matrix factorizations with
                 {CORDIC}-based inexact diagonalizations",
  publisher =    "Philadelphia, PA: SIAM",
  pages =        "295--299",
  year =         "1994",
  ISBN =         "0-89871-336-6",
  ISBN-13 =      "978-0-89871-336-7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0819.65054",
  acknowledgement = ack-nhfb,
  keywords =     "65F15,65Y05",
  ZBmath =       "741156",
}

@Article{Hemkumar:1994:RLC,
  author =       "Nariankadu D. Hemkumar and Joseph R. Cavallaro",
  title =        "Redundant and on-line {CORDIC} for unitary
                 transformations.",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "43",
  number =       "8",
  pages =        "941--954",
  month =        aug,
  year =         "1994",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.295856",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "hdl.handle.net/1911/19948",
  ZMnumber =     "1066.68503",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68M07; Arithmetic; Computer architecture; Delay
                 effects; Eigenvalues and eigenfunctions; Iterative
                 algorithms; Jacobian matrices; Matrix decomposition;
                 Parallel algorithms; Signal processing algorithms;
                 Singular value decomposition",
  ZBmath =       "1964112",
}

@InProceedings{Hsiao:1994:HTC,
  author =       "Jue-Hsuan Hsiao and Liang-Gee Chen and Tzi-Dar Chiueh
                 and Chun-Te Chen",
  booktitle =    "{1994 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "High throughput {CORDIC}-based systolic array design
                 for the discrete cosine transform",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "85--88 (vol. 2)",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/ISCAS.1994.408911",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Concurrent computing;
                 Convolution; Discrete cosine transforms; Discrete
                 transforms; Fast Fourier transforms; Karhunen-Loeve
                 transforms; Signal processing algorithms; Systolic
                 arrays; Throughput",
}

@InProceedings{Hsiao:1994:PPC,
  author =       "Shen-Fu Hsiao and Jean-Marc Delosme",
  booktitle =    "{Proceedings of IEEE International Conference on
                 Application Specific Array Processors (ASSAP'94)}",
  title =        "Parallel processing of complex data using quaternion
                 and pseudo-quaternion {CORDIC} algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "324--335",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/ASAP.1994.331792",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Concurrent computing; Eigenvalues and eigenfunctions;
                 Iterative algorithms; Linear systems; Matrices; Matrix
                 decomposition; Parallel processing; Quaternions; Signal
                 processing algorithms; Singular value decomposition",
}

@Article{Huseby:1994:CLG,
  author =       "Sverre H. Huseby and George Matas and Timothy M.
                 Farnum",
  title =        "{CUG393} --- {LL}, {GIFSave}, and {Cordic++}",
  journal =      j-CUJ,
  volume =       "12",
  type =         "CUG New Release",
  number =       "1",
  pages =        "88--??",
  month =        jan,
  year =         "1994",
  ISSN =         "0898-9788",
  bibdate =      "Fri Aug 30 16:52:23 MDT 1996",
  bibsource =    "http://www.cuj.com/cbklist.htm;
                 http://www.math.utah.edu/pub/tex/bib/cccuj.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "C Users Journal",
}

@InProceedings{Lee:1994:VIC,
  author =       "J.-A. Lee and M. Ahmad",
  booktitle =    "{Proceedings of 4th Great Lakes Symposium on VLSI}",
  title =        "{VLSI} implementation of {CORDIC} angle units",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "144--149",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/GLSV.1994.289979",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Concurrent computing; Difference equations; Encoding;
                 Geometry; Partitioning algorithms; Signal processing;
                 Signal processing algorithms; Very large scale
                 integration",
}

@InProceedings{Mariatos:1994:FDP,
  author =       "E. P. Mariatos and D. E. Metafas and J. A. Hallas and
                 C. E. Goutis",
  booktitle =    "{Proceedings of IEEE International Symposium on
                 Circuits and Systems --- ISCAS '94}",
  title =        "A fast {DCT} processor, based on special purpose
                 {CORDIC} rotators",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "271--274 (vol. 4)",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/ISCAS.1994.409249",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Discrete cosine
                 transforms; Hardware; HDTV; Image coding; Read only
                 memory; Signal processing; Signal processing
                 algorithms; Throughput",
}

@InProceedings{Mariatos:1994:RDB,
  author =       "E. P. Mariatos and M. K. Birbas and A. N. Birbas",
  booktitle =    "{Proceedings of IEEE 5th International Workshop on
                 Rapid System Prototyping}",
  title =        "A reconfigurable {DSP} board based on {CORDIC}
                 elements",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "22--25",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/IWRSP.1994.315899",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit testing; Computer architecture; Digital signal
                 processing; Discrete cosine transforms; Field
                 programmable gate arrays; Hardware; Parallel
                 processing; Prototypes; Signal processing algorithms;
                 Time to market",
}

@InProceedings{Merakos:1994:EMC,
  author =       "P. Merakos and E. Mariatos and M. Birbas and A. Birbas
                 and E. Frantzeskakis and H. Karathanasis",
  booktitle =    "{Proceedings of Twentieth Euromicro Conference. System
                 Architecture and Integration}",
  title =        "Efficient mapping of cepstrum algorithms on a
                 reconfigurable {CORDIC} system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "597--602",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/EURMIC.1994.390353",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cepstrum; Computer architecture; DH-HEMTs; Digital
                 signal processing; Discrete cosine transforms;
                 Filtering algorithms; Frequency; Hardware; Parallel
                 processing; Very large scale integration",
}

@Article{Meyer-Base:1994:CRD,
  author =       "U. Meyer-Base and A. Meyer-Baese and W. Hilberg",
  title =        "Coordinate Rotation Digital Computer ({CORDIC})
                 Synthesis for {FPGA}",
  journal =      j-LECT-NOTES-COMP-SCI,
  volume =       "849",
  pages =        "397--??",
  year =         "1994",
  CODEN =        "LNCSD9",
  ISSN =         "0302-9743 (print), 1611-3349 (electronic)",
  ISSN-L =       "0302-9743",
  bibdate =      "Mon May 13 11:52:14 MDT 1996",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/lncs1994.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Lecture Notes in Computer Science",
  journal-URL =  "http://link.springer.com/bookseries/558",
}

@InBook{Meyer-Bse:1994:CRD,
  author =       "U. Meyer-B{\"a}se and A. Meyer-B{\"a}se and W.
                 Hilberg",
  booktitle =    "Field-Programmable Logic Architectures, Synthesis and
                 Applications",
  title =        "Coordinate Rotation {DIgital} Computer {(CORDIC)}
                 synthesis for {FPGA}",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "397--408",
  year =         "1994",
  DOI =          "https://doi.org/10.1007/3-540-58419-6_124",
  ISBN =         "3-540-48783-2",
  ISBN-13 =      "978-3-540-48783-8",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sarmiento:1994:ICP,
  author =       "R. Sarmiento and K. Eshraghian",
  booktitle =    "{Proceedings of European Design and Test Conference
                 EDAC-ETC-EUROASIC}",
  title =        "Implementation of a {CORDIC} processor for {CFFT}
                 computation in gallium arsenide technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "238--244",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/EDTC.1994.326871",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Australia; Circuits; CMOS technology; Digital signal
                 processing; Fast Fourier transforms; Foundries; Gallium
                 arsenide; Hardware; Signal processing algorithms; Very
                 large scale integration",
}

@Article{Timmermann:1994:CFP,
  author =       "Dirk Timmermann and B. Rix and Helmut Hahn and Bedrich
                 J. Hosticka",
  title =        "A {CMOS} floating-point vector-arithmetic unit",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "29",
  number =       "5",
  pages =        "634--639",
  month =        may,
  year =         "1994",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/4.284719",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Wed Oct 29 08:36:03 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "This work describes a floating-point arithmetic unit
                 based on the CORDIC algorithm. The unit computes a full
                 set of high level arithmetic and elementary functions:
                 multiplication, division, (co)sine, hyperbolic
                 (co)sine, square root, natural logarithm, inverse
                 (hyperbolic) tangent, vector norm, and phase. The chip
                 has been integrated in 1.6 mu m double-metal n-well
                 CMOS technology and achieves a normalized peak
                 performance of 220 MFLOPS.",
  acknowledgement = ack-nhfb,
  affiliation =  "Fraunhofer Inst. of Microelectron. Circuits and Syst.,
                 Duisburg, Germany",
  classification = "B1265B (Logic circuits); B2570D (CMOS integrated
                 circuits); C5120 (Logic and switching circuits); C5220P
                 (Parallel architecture); C5230 (Digital arithmetic
                 methods)",
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "1.6 Micron; 220 MFLOPS; CORDIC algorithm; Cosine;
                 Division; Double-metal n-well CMOS technology;
                 Floating-point vector-arithmetic unit; Hyperbolic sine;
                 Inverse tangent; Multiplication; Natural logarithm;
                 Phase; Sine; Square root; Vector norm",
  numericalindex = "Size 1.6E-06 m; Computer speed 2.2E+08 FLOPS",
  pubcountry =   "USA",
  thesaurus =    "CMOS integrated circuits; Digital arithmetic;
                 Integrated logic circuits; Parallel architectures;
                 Pipeline processing; Vector processor systems",
}

@InProceedings{Wang:1994:CDC,
  author =       "Shaoyun Wang and E. E. Swartzlander",
  booktitle =    "{Proceedings of 1994 37th Midwest Symposium on
                 Circuits and Systems}",
  title =        "Critically damped {CORDIC} algorithm",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "253--256 (vol. 1)",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/MWSCAS.1994.519233",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer simulation; Control systems; Convergence;
                 Read only memory; Sections",
}

@InProceedings{Wang:1994:SAC,
  author =       "Ling Wang and I. Hartimo",
  booktitle =    "{Proceedings of MELECON '94. Mediterranean
                 Electrotechnical Conference}",
  title =        "Systolic architectures for computing 2-D {DCT} based
                 on {CORDIC} techniques",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "73--76 (vol. 1)",
  year =         "1994",
  DOI =          "https://doi.org/10.1109/MELCON.1994.381141",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Discrete cosine
                 transforms; Home computing; Iterative algorithms; Read
                 only memory; Signal processing; Silicon; Systolic
                 arrays; Very large scale integration",
}

@InProceedings{Antelo:1995:RCR,
  author =       "E. Antelo and J. D. Bruguera and J. Villalba and E. L.
                 Zapata",
  booktitle =    "{Proceedings of the 12th Symposium on Computer
                 Arithmetic}",
  title =        "Redundant {CORDIC} rotator based on parallel
                 prediction",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "172--179",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ARITH.1995.465362",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Contracts; Digital filters; Image
                 processing; Iterative algorithms; Performance
                 evaluation; Prediction algorithms; Production; Signal
                 processing; Zinc",
}

@InProceedings{Chen:1995:CCC,
  author =       "Sau-Gee Chen and Chieh-Chih Li and Yuan-Der Hou",
  booktitle =    "{VLSI Signal Processing, VIII}",
  title =        "Compatible {CORDIC} and {CCM} algorithms for small
                 area realization",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "572--578",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/VLSISP.1995.527528",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Convergence; Cost function; Foundries; Hardware;
                 Iterative algorithms; Mathematics; Process design; Read
                 only memory; Very large scale integration",
}

@InProceedings{Chen:1995:MCD,
  author =       "A. Chen and R. McDanell and M. Boytim and R. Pogue",
  booktitle =    "{Proceedings of GLOBECOM '95}",
  title =        "Modified {CORDIC} demodulator implementation for
                 digital {IF}-sampled receiver",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1450--1454 (vol. 2)",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/GLOCOM.1995.502642",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Demodulation; Digital
                 audio broadcasting; Digital systems; Hardware; Land
                 mobile radio; Mobile communication; Radio spectrum
                 management; Receivers; Very large scale integration",
}

@InProceedings{Dhar:1995:DUC,
  author =       "A. S. Dhar and S. Banerjee",
  booktitle =    "{Proceedings of the First Regional Conference, IEEE
                 Engineering in Medicine and Biology Society and 14th
                 Conference of the Biomedical Engineering Society of
                 India. An International Meet}",
  title =        "{Doppler} ultrasonograph with {CORDIC} based spectrum
                 analyzer for blood flow velocity estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2/107--2/108",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/RCEMBS.1995.532181",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Analog-digital conversion; Blood; Circuits;
                 Demodulation; Discrete Fourier transforms; Doppler
                 shift; Field programmable gate arrays; Fourier
                 transforms; Signal processing algorithms; Spectral
                 analysis",
}

@InProceedings{El-Guibaly:1995:HSC,
  author =       "F. El-Guibaly and A. Almulhem and A. Sabaa and D.
                 Shpak",
  booktitle =    "{IEEE Pacific Rim Conference on Communications,
                 Computers, and Signal Processing. Proceedings}",
  title =        "A high speed {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "485--488",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/PACRIM.1995.519575",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational modeling; Computer simulation;
                 Equations; Noise reduction; Performance analysis",
}

@InProceedings{Freeman:1995:CAD,
  author =       "S. Freeman and M. O'Donnell",
  booktitle =    "{1995 International Conference on Acoustics, Speech,
                 and Signal Processing}",
  title =        "A complex arithmetic digital signal processor using
                 {CORDIC} rotators",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3191--3194 (vol. 5)",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ICASSP.1995.479563",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Clocks; Digital arithmetic; Digital signal
                 processors; Process design; Random access memory; Read
                 only memory; Registers; Signal design; Signal
                 processing",
}

@Article{Gotze:1995:AAB,
  author =       "J{\"u}rgen G{\"o}tze and Gerben J. Hekstra",
  title =        "An algorithm and architecture based on orthonormal $
                 \mu $-rotations for computing the symmetric {EVD}",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "20",
  number =       "1",
  pages =        "21--39",
  year =         "1995",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/0167-9260(95)00016-X",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Algorithms and parallel VLSI architectures",
  URL =          "https://www.sciencedirect.com/science/article/pii/016792609500016X",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Approximate rotations; Eigenvalue decomposition (EVD);
                 Floating-point {CORDIC} architecture; Jacobi method;
                 Orthonormal \mu -rotations",
}

@Article{Guo:1995:NCB,
  author =       "Jiun-In Guo and Chi-Min Liu and Chein-Wei Jen",
  title =        "A novel {CORDIC}-based array architecture for the
                 multidimensional discrete {Hartley} transform",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-2,
  volume =       "42",
  number =       "5",
  pages =        "349--355",
  year =         "1995",
  CODEN =        "ICSPE5",
  DOI =          "https://doi.org/10.1109/82.386175",
  ISSN =         "1057-7130 (print), 1558-125X (electronic)",
  ISSN-L =       "1057-7130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "semanticscholar.org/paper/a9f1ff58421140372b9ec48acae1f69a388431f5",
  ZMnumber =     "0831.65148",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. II: Analog
                 and Digital Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=82",
  keywords =     "65T50,65Y10; Algorithm design and analysis;
                 Computational complexity; Computer architecture;
                 DH-HEMTs; Discrete Fourier transforms; Discrete
                 transforms; Hardware; Kernel; Multidimensional systems;
                 Signal processing algorithms",
  ZBmath =       "800762",
}

@InProceedings{Hamill:1995:CSF,
  author =       "R. Hamill and J. V. McCanny and R. L. Walke",
  booktitle =    "{VLSI Signal Processing, VIII}",
  title =        "Constant scale factor, on-line {CORDIC} algorithm in
                 the circular coordinate system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "562--571",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/VLSISP.1995.527527",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Delay; Digital arithmetic; Feedback loop; Hardware;
                 Iterative algorithms; Microelectronics; Redundancy;
                 Signal processing algorithms; Vectors; Very large scale
                 integration",
}

@Article{Hsiao:1995:HCA,
  author =       "Shen-Fu Hsiao and Jean-Marc Delosme",
  title =        "{Householder} {CORDIC} algorithms",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "44",
  number =       "8",
  pages =        "990--1001",
  month =        aug,
  year =         "1995",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.403715",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Wed Jul 6 08:01:29 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/h/householder-alston-s.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=403715",
  ZMnumber =     "1054.68502",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68M07,68W35; Computer errors; Convergence; CORDIC
                 algorithm; Digital arithmetic; Digital signal
                 processing; Iterative algorithms; Matrix decomposition;
                 Multiprocessing systems; Reflection; Signal processing
                 algorithms; Very large scale integration",
  ZBmath =       "1967473",
}

@Article{Hsiao:1995:HTC,
  author =       "Jue-Hsuan Hsiao and Liang-Gee Ghen and Tzi-Dar Chiueh
                 and Chun-Te Chen",
  title =        "High throughput {CORDIC}-based systolic array design
                 for the discrete cosine transform",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-VIDEO-TECH,
  volume =       "5",
  number =       "3",
  pages =        "218--225",
  year =         "1995",
  CODEN =        "ITCTEM",
  DOI =          "https://doi.org/10.1109/76.401098",
  ISSN =         "1051-8215 (print), 1558-2205 (electronic)",
  ISSN-L =       "1051-8215",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems for Video
                 Technology",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=76",
  keywords =     "Algorithm design and analysis; Bandwidth; DH-HEMTs;
                 Discrete cosine transforms; Discrete transforms; Fast
                 Fourier transforms; Hardware; Signal processing
                 algorithms; Systolic arrays; Throughput",
}

@Article{Hu:1995:ECA,
  author =       "Yu Hen Hu and Zhenyang Wu",
  title =        "An efficient {CORDIC} array structure for the
                 implementation of discrete cosine transform",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "43",
  number =       "1",
  pages =        "331--336",
  year =         "1995",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.365320",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Data processing; Discrete cosine transforms; Discrete
                 Fourier transforms; Fast Fourier transforms; Image
                 coding; Parallel architectures; Signal processing
                 algorithms; Speech coding; Throughput; Transform
                 coding",
}

@InProceedings{Hwang:1995:VCD,
  author =       "Jeng-Kuang Hwang and Jing-Hsien Chang",
  booktitle =    "{VLSI Signal Processing, VIII}",
  title =        "{VLSI} chip design of a {CORDIC}-based adaptive
                 lattice filter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "368--377",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/VLSISP.1995.527508",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Arithmetic; Chip scale packaging;
                 Filtering; Geophysical measurement techniques; Ground
                 penetrating radar; Lattices; Nonlinear filters;
                 Predictive models; Very large scale integration",
}

@InProceedings{Kapteijn:1995:IRA,
  author =       "P. Kapteijn and E. Deprettere and L. Timmoneri and A.
                 Farina",
  booktitle =    "{1995 25th European Microwave Conference}",
  title =        "Implementation of the recursive {$ Q R $} algorithm on
                 a $ 2 \times 2 $ {CORDIC} testboard: a case study for
                 radar applications",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "500--505",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/EUMA.1995.337010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive arrays; Concurrent computing; Doppler radar;
                 Interference; Phased arrays; Radar antennas; Radar
                 applications; Radar clutter; Radar signal processing;
                 Testing",
}

@InProceedings{Lo:1995:NMI,
  author =       "Hao-Yung Lo and Hsiu-Feng Lin and Kuen-Shiuh Yang",
  booktitle =    "{1995 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A new method of implementation of {VLSI} {CORDIC} for
                 sine and cosine computation",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1984--1987 (vol. 3)",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ISCAS.1995.523810",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Equations; Silicon compounds; Very large scale
                 integration",
}

@Article{Metafas:1995:FPA,
  author =       "D. E. Metafas and C. E. Goutis",
  title =        "A Floating-Point Advanced {CORDIC} Processor",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "10",
  number =       "1",
  pages =        "53--65",
  month =        jun,
  year =         "1995",
  CODEN =        "JVSPED",
  DOI =          "https://doi.org/10.1007/bf02407026",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Sat Feb 24 15:01:45 MST 1996",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "In this paper, a novel architecture of a
                 floating-point digital signal processor is presented.
                 It introduces a single hardware structure with a full
                 set of elementary arithmetic functions which includes
                 sin, cos, tan, arctanh, circular rotation and
                 vectoring, sinh, cosh, tanh, arctanh, hyperbolic
                 rotation and vectoring, square root, logarithm,
                 exponential as well as addition, multiplication and
                 division. The architecture of the processor is based on
                 the COordinate Rotation DIgital Computer (CORDIC) and
                 the Convergence Computing Method (CCM) algorithms for
                 computing arithmetic functions and it is fully parallel
                 and pipelined. Its advanced functionality is achieved
                 without significant increase in hardware, in comparison
                 to ordinary CORDIC processor, and makes it an ideal
                 processing element in high speed multiprocessor
                 applications, e.g. real time Digital Signal Processing
                 (DSP) and computer graphics.",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@InProceedings{Moller:1995:PIC,
  author =       "F. T. Moller and J. B. Andersen and H. R. Jensen and
                 O. Olsen and F. K. Fink",
  booktitle =    "{1995 International Conference on Acoustics, Speech,
                 and Signal Processing}",
  title =        "{PSEUDEC}: implementation of the computation-intensive
                 {PARTRAN} functionality using a dedicated on-line
                 {CORDIC} co-processor",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3207--3210 (vol. 5)",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ICASSP.1995.479567",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Auditory system; Computational complexity;
                 Computer architecture; Coprocessors; Digital signal
                 processing; Energy consumption; Hardware; Linear
                 predictive coding; Speech",
}

@InProceedings{Osorio:1995:DLL,
  author =       "R. R. Osorio and E. Antelo and J. D. Bruguera and J.
                 Villalba and E. L. Zapata",
  booktitle =    "{Proceedings The International Conference on
                 Application Specific Array Processors}",
  title =        "Digit on-line large radix {CORDIC} rotator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "246--257",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ASAP.1995.522929",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Convergence; Delay; Hardware;
                 Iterative algorithms; Military computing; Petroleum;
                 Signal processing algorithms; Silicon; Vectors",
}

@Article{Paul:1995:EAC,
  author =       "Steffen Paul and J{\"u}rgen G{\"o}tze and Matthias
                 Sauer",
  title =        "Error analysis of {CORDIC}-based {Jacobi}
                 algorithms.",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "44",
  number =       "7",
  pages =        "947--951",
  month =        jul,
  year =         "1995",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.392855",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1053.68931",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68W35,68W05; Computational efficiency; Computer
                 architecture; Data structures; Eigenvalues and
                 eigenfunctions; Error analysis; Floating-point
                 arithmetic; Jacobian matrices; Matrix decomposition;
                 Symmetric matrices; Very large scale integration",
  ZBmath =       "1967495",
}

@PhdThesis{Suarez:1995:CAA,
  author =       "Elisardo Antelo Su{\'a}rez",
  title =        "Algoritmos y arquitecturas {CORDIC} con aritm{\'e}tica
                 redundante para procesamiento de alta velocidad
                 ({Spanish}) [{CORDIC} algorithms and architectures with
                 redundant arithmetic for high-speed processing]",
  type =         "Tesis doctorales",
  school =       "Universidade de Santiago de Compostela",
  address =      "Santiago de Compostela, Spain",
  pages =        "ix + 210",
  year =         "1995",
  ISBN =         "84-8121-348-9",
  ISBN-13 =      "978-84-8121-348-5",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-algorithms-architectures-with-redundant/docview/304224973/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  language =     "Spanish",
  ris-m1 =       "C519384",
}

@InProceedings{Villalba:1995:CAP,
  author =       "J. Villalba and J. A. Hidalgo and E. L. Zapata and E.
                 Antelo and J. D. Bruguera",
  booktitle =    "{Proceedings The International Conference on
                 Application Specific Array Processors}",
  title =        "{CORDIC} architectures with parallel compensation of
                 the scale factor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "258--269",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ASAP.1995.522930",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algebra; Arithmetic; Computer architecture; Concurrent
                 computing; Delay; Filtering; Image processing;
                 Iterative algorithms; Matrix decomposition; Vectors",
}

@InProceedings{Wang:1995:MCA,
  author =       "Shaoyun Wang and E. E. Swartzlander",
  booktitle =    "{1995 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Merged {CORDIC} algorithm",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1988--1991 (vol. 3)",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ISCAS.1995.523811",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Computational modeling;
                 Equations; Hardware; Software algorithms",
}

@InProceedings{Zhou:1995:HSD,
  author =       "Feng Zhou and P. Kornerup",
  booktitle =    "{Proceedings of the 12th Symposium on Computer
                 Arithmetic}",
  title =        "High speed {DCT\slash IDCT} using a pipelined {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "180--187",
  year =         "1995",
  DOI =          "https://doi.org/10.1109/ARITH.1995.465361",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer science; Delay effects; Digital TV; Discrete
                 cosine transforms; Discrete transforms; HDTV; Image
                 coding; Mathematics; Transform coding; Video
                 compression",
}

@InProceedings{Andraka:1996:BHP,
  author =       "R. J. Andraka",
  editor =       "????",
  booktitle =    "{Proceedings of Design SuperCon '96, Jan 1996}",
  title =        "Building a High Performance Bit Serial Processor in an
                 {FPGA}",
  publisher =    "????",
  address =      "????",
  pages =        "5.1--5.21",
  year =         "1996",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 11:00:40 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InBook{Antelo:1996:HRC,
  author =       "E. Antelo and J. D. Bruguera and Tom{\'a}s Lang and J.
                 Villalba and E. L. Zapata",
  booktitle =    "Euro-Par 96 Parallel Processing",
  title =        "High radix {CORDIC} rotation based on selection by
                 rounding",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "155--164",
  year =         "1996",
  DOI =          "https://doi.org/10.1007/bfb0024698",
  ISBN =         "3-540-70636-4",
  ISBN-13 =      "978-3-540-70636-6",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Antelo:1996:UMR,
  author =       "Elisardo Antelo and Javier D. Bruguera and Emilio L.
                 Zapata",
  title =        "Unified mixed radix 2--4 redundant {CORDIC}
                 processor.",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "45",
  number =       "9",
  pages =        "1068--1073",
  month =        sep,
  year =         "1996",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.537131",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "semanticscholar.org/paper/6e377a94ae2b2b74ef20255014e1b3451a07bc73",
  ZMnumber =     "1068.68508",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68M07,68W35; Algorithm design and analysis; Computer
                 architecture; Computer Society; Delay; Digital
                 arithmetic; Digital signal processing; Iterative
                 algorithms; Pipelines; Signal design; Signal processing
                 algorithms",
  ZBmath =       "1966792",
}

@Article{Bruguera:1996:CBP,
  author =       "J. D. Bruguera and N. Guil and Tom{\'a}s Lang and J.
                 Villalba and E. L. Zapata",
  title =        "{CORDIC} based parallel\slash pipelined architecture
                 for the {Hough} transform",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "12",
  number =       "3",
  pages =        "207--221",
  month =        jun,
  year =         "1996",
  DOI =          "https://doi.org/10.1007/bf00924986",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@InProceedings{Codenie:1996:IDA,
  author =       "J. Codenie and X. Wang and Qiu Xing Zhi and J.
                 Vlietinck and P. Lambrecht and J. Vandewege and K. {De
                 Meyer} and W. Trog",
  booktitle =    "{Proceedings of the 39th Midwest Symposium on Circuits
                 and Systems}",
  title =        "Implementation of a digital amplitude detector based
                 on the {CORDIC} transform",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "860--863 (vol. 2)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/MWSCAS.1996.588052",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Availability; Bit rate; Costs; Detectors; Digital
                 signal processing; Equations; Gain control; Modulation;
                 Signal processing algorithms; Topology",
}

@Article{Dawid:1996:DCA,
  author =       "Herbert Dawid and Heinrich Meyr",
  title =        "The Differential {CORDIC} Algorithm: Constant Scale
                 Factor Redundant Implementation Without Correcting
                 Iterations",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "45",
  number =       "3",
  pages =        "307--318",
  month =        mar,
  year =         "1996",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.485569",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Wed Jul 6 19:47:09 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=485569",
  ZMnumber =     "1057.68740",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68W05,68W35,68M07; Computational complexity; Computer
                 architecture; Delay; Digital arithmetic; Iterative
                 algorithms; Iterative methods; Logic; Parallel
                 architectures; Signal processing algorithms; Student
                 members",
  ZBmath =       "1966848",
}

@InProceedings{El-Guibaly:1996:HSC,
  author =       "Fayez El-Guibaly and A. Sabaa",
  title =        "High-speed {CORDIC} algorithm",
  crossref =     "Luk:1996:PSC",
  pages =        "512--512",
  year =         "1996",
  DOI =          "https://doi.org/10.1117/12.255460",
  bibdate =      "Tue Jun 14 18:26:06 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Hampson:1996:PSB,
  author =       "Grant Hampson and Andrew Paplin{\'s}ki",
  booktitle =    "{Fourth International Symposium on Signal Processing
                 and Its Applications, 25--30 August 1996, Gold Coast,
                 QLD, Australia}",
  title =        "Phase Shift Beamforming Using {CORDIC}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "684--687",
  year =         "1996",
  ISBN =         "0-7803-4114-7",
  ISBN-13 =      "978-0-7803-4114-2",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/615135/",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Australia; Clocks; Filtering;
                 Frequency; Sampling methods; Sensor arrays; Sensor
                 systems; Signal processing; Throughput",
}

@Article{Hsiao:1996:PSV,
  author =       "Shen-Fu Hsiao and Jean-Marc Delosme",
  title =        "Parallel singular value decomposition of complex
                 matrices using multidimensional {CORDIC} algorithms",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "44",
  number =       "3",
  pages =        "685--697",
  year =         "1996",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.489041",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Arithmetic; Concurrent computing; Eigenvalues and
                 eigenfunctions; Jacobian matrices; Matrix
                 decomposition; Multidimensional signal processing;
                 Multidimensional systems; Signal processing algorithms;
                 Singular value decomposition; Throughput",
}

@Article{Hu:1996:NIC,
  author =       "Yu Hen Hu and Homer H. M. Chern",
  title =        "A novel implementation of {CORDIC} algorithm using
                 backward angle recoding ({BAR}).",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "45",
  number =       "12",
  pages =        "1370--1378",
  month =        dec,
  year =         "1996",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.545967",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "68M07",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "digital.library.wisc.edu/1793/9178",
  ZMnumber =     "1058.68500",
  abstract =     "We propose a backward angle recoding (BAR) method to
                 eliminate redundant CORDIC elementary rotations and
                 hence expedite the CORDIC rotation computation. We
                 prove that for each of the linear, circular, and
                 hyperbolic CORDIC rotations, the use of BAR guarantees
                 more than 50\% reduction of elementary CORDIC rotations
                 provided the scaling factor needs not be kept constant.
                 The proposed BAR algorithm is simple, and amenable to
                 VLSI implementation. Taking practical applications into
                 consideration, we discuss how to incorporate
                 convergence range enhancement procedure with BAR, and
                 how easy it is to devise a constant-scaling-factor BAR
                 algorithm while still enjoying 25\% reduction of CORDIC
                 elementary rotations.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "convergence; coprocessors; delay; digital arithmetic;
                 digital signal processing; lattices; senior members;
                 signal processing algorithms; throughput; very large
                 scale integration",
  ZBmath =       "1966744",
}

@Article{Kantabutra:1996:HCE,
  author =       "Vitit Kantabutra",
  title =        "On hardware for computing exponential and
                 trigonometric functions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "45",
  number =       "3",
  pages =        "328--339",
  month =        mar,
  year =         "1996",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.485571",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Wed Jul 6 19:47:09 MDT 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput1990.bib",
  URL =          "https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=485571",
  abstract =     "This paper presents new, fast hardware for computing
                 the exponential function, sine, and cosine. The main
                 new idea is to use low-precision arithmetic components
                 to approximate high precision computations, and then to
                 correct very quickly the approximation error
                 periodically so that the effect is to get high
                 precision computation at near low-precision speed. The
                 algorithm used in the paper is a nontrivial
                 modification of the well-known CORDIC algorithm, and
                 might be applicable to the computation of other
                 functions than the ones presented.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  remark-1 =     "From page 328: ``The main new idea used in this paper
                 is to use low-precision arithmetic components to
                 approximate high-precision results, and then to correct
                 the approximation error very quickly from time to time
                 using high-precision arithmetic components''.",
  remark-2 =     "From page 329: ``we may limit our domain $x$ for the
                 exponential function to the range $[0, log_e 2)$, where
                 $log_e 2 \approx 0.693$. And for the sine and cosine
                 functions we can limit our domain to $[O, \pi/2]$.''",
  remark-3 =     "From page 330: ``The circuits presented in this paper
                 takes practically $\theta(n \sqrt{n})$ chip area.''",
}

@Article{Kar:1996:CBU,
  author =       "D. C. Kar and V. V. Bapeswara Rao",
  title =        "A {CORDIC}-based unified systolic architecture for
                 sliding window applications of discrete transforms",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "44",
  number =       "2",
  pages =        "441--444",
  year =         "1996",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.485943",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Computer science; Digital signal processing; Discrete
                 cosine transforms; Discrete Fourier transforms;
                 Discrete transforms; Fourier transforms; Hardware;
                 Neodymium; Signal processing algorithms; Virtual
                 manufacturing",
}

@InProceedings{Krieger:1996:IKC,
  author =       "C. Krieger and Bedrich J. Hosticka",
  editor =       "????",
  booktitle =    "{IEE} Proceedings Computer Digital Techniques",
  title =        "Inverse kinematics computations with modified {CORDIC}
                 iterations",
  volume =       "143(1)",
  publisher =    "????",
  address =      "????",
  pages =        "87--92",
  year =         "1996",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Oct 29 07:59:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Li:1996:NRC,
  author =       "Chieh-Chih Li and Sau-Gee Chen",
  booktitle =    "{1996 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "New redundant {CORDIC} algorithms with fast variable
                 scale factor compensations",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "264--267 (vol. 4)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ISCAS.1996.541953",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Difference equations; Digital signal processing; Read
                 only memory; Silicon",
}

@InProceedings{Nikolaidis:1996:CVC,
  author =       "S. S. Nikolaidis and D. E. Metafas",
  booktitle =    "{Proceedings of Third International Conference on
                 Electronics, Circuits, and Systems}",
  title =        "{CORDIC} versus conventional logic for realisation of
                 normalised lattice all-pass filters",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "538--541 (vol. 1)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ICECS.1996.582924",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Delay; Filters; Hardware;
                 Lattices; Logic; Physics computing; Pipelines;
                 Protection; Throughput",
}

@InProceedings{Simon:1996:CBA,
  author =       "S. Simon and P. Rieder and C. Schimpfle and J. A.
                 Nossek",
  booktitle =    "{1996 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{CORDIC}-based architectures for the efficient
                 implementation of discrete wavelet transforms",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "77--80 (vol. 4)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ISCAS.1996.541948",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuit synthesis; Discrete wavelet
                 transforms; Filter bank; Image processing; Lattices;
                 Signal analysis; Transversal filters; Very large scale
                 integration; Wavelet transforms",
}

@InProceedings{Vanderaar:1996:TPS,
  author =       "M. Vanderaar and R. Bexten",
  booktitle =    "{Proceedings of the 39th Midwest Symposium on Circuits
                 and Systems}",
  title =        "Transmit pulse shaping filters and {CORDIC} algorithm
                 based precompensation for digital satellite
                 communications",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1219--1222 (vol. 3)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/MWSCAS.1996.593119",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Bit error rate;
                 Computer architecture; Filters; Programmable logic
                 devices; Pulse shaping methods; Satellite
                 communication; Table lookup; Throughput; Transmitters",
}

@InProceedings{Villalba:1996:RVC,
  author =       "J. Villalba and J. C. Arrabal and E. L. Zapata and E.
                 Antelo and J. D. Bruguera",
  booktitle =    "{Proceedings of International Conference on
                 Application Specific Systems, Architectures and
                 Processors: ASAP '96}",
  title =        "Radix-4 vectoring {CORDIC} algorithm and
                 architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "55--64",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ASAP.1996.542801",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algebra; Application software; Computer
                 architecture; Convergence; Eigenvalues and
                 eigenfunctions; Equations; Filters; Image processing;
                 Iterative algorithms",
}

@InProceedings{Vuori:1996:IDP,
  author =       "J. Vuori",
  booktitle =    "{1996 IEEE International Symposium on Circuits and
                 Systems. Circuits and Systems Connecting the World.
                 ISCAS 96}",
  title =        "Implementation of a digital phase-locked loop using
                 {CORDIC} algorithm",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "164--167 (vol. 4)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ISCAS.1996.541925",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Control systems; Demodulation; Frequency shift
                 keying; Frequency synchronization; Phase locked loops;
                 Phase noise; Signal generators; Tracking loops; Very
                 large scale integration",
}

@InProceedings{Vuori:1996:IEP,
  author =       "Jarkko Vuori and Jorma Skytt{\"a}",
  booktitle =    "{1996 8th European Signal Processing Conference
                 (EUSIPCO 1996), 10--13 September 1996, Trieste,
                 Italy}",
  title =        "Implementation of a {European} paging system receiver
                 using {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "1996",
  ISBN =         "88-86179-83-9",
  ISBN-13 =      "978-88-86179-83-6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7082948/",
  acknowledgement = ack-nhfb,
  keywords =     "Demodulation; Europe; Filtering algorithms; Frequency
                 shift keying; Mathematical model; Phase locked loops;
                 Receivers",
}

@InProceedings{Wang:1996:CDC,
  author =       "Shaoyun Wang and E. E. Swartzlander",
  booktitle =    "{Conference Record of The Thirtieth Asilomar
                 Conference on Signals, Systems and Computers}",
  title =        "The critically damped {CORDIC} algorithm for {$ Q R $}
                 decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "908--911 (vol. 2)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ACSSC.1996.599076",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer industry; Equations; Image
                 processing; Matrix decomposition; Read only memory;
                 Signal processing algorithms; Very large scale
                 integration",
}

@InProceedings{Wang:1996:GPC,
  author =       "Shaoyun Wang and V. Piuri and E. E. Swartzlander",
  booktitle =    "{1996 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing Conference Proceedings}",
  title =        "Granularly-pipelined {CORDIC} processors for sine and
                 cosine generators",
  volume =       "6",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3298--3301 (vol. 6)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/ICASSP.1996.550582",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Computer applications; Computer
                 architecture; Constraint optimization; Costs;
                 Guidelines; Physics computing; Pipeline processing;
                 Throughput; Very large scale integration",
}

@InProceedings{Wang:1996:UVC,
  author =       "Shaoyun Wang and V. Piuri and E. E. Swartzlander",
  booktitle =    "{Proceedings of the 39th Midwest Symposium on Circuits
                 and Systems}",
  title =        "A unified view of {CORDIC} processor design",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "852--855 (vol. 2)",
  year =         "1996",
  DOI =          "https://doi.org/10.1109/MWSCAS.1996.588050",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Complexity theory; Computer
                 applications; Computer architecture; Design
                 methodology; Guidelines; Iterative algorithms; Power
                 engineering computing; Process design; Vectors",
}

@MastersThesis{Al-Sawi:1997:HHP,
  author =       "Ahmad Nour Al-Islam Al-Sawi",
  title =        "{HCORDIC}: a high-performance {CORDIC} algorithm",
  type =         "{M.S.} thesis",
  school =       "King Fahd University of Petroleum and Minerals",
  address =      "Dhahran, Saudi Arabia",
  pages =        "130",
  year =         "1997",
  ISBN =         "0-591-45493-9",
  ISBN-13 =      "978-0-591-45493-2",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/hcordic-high-performance-cordic-algorithm/docview/304432028/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0984:Computer science; Applied sciences; Computer
                 science",
  ris-m1 =       "1385303",
}

@Article{Antelo:1997:EAR,
  author =       "E. Antelo and J. D. Bruguera and Tom{\'a}s Lang and E.
                 L. Zapata",
  title =        "Error analysis and reduction for angle calculation
                 using the {CORDIC} algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "46",
  number =       "11",
  pages =        "1264--1271",
  month =        nov,
  year =         "1997",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.644300",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Computer architecture; Costs; Error analysis;
                 Fixed-point arithmetic; Hardware; Iterative algorithms;
                 Proposals; Roundoff errors; Signal processing
                 algorithms; Very large scale integration",
}

@Article{Antelo:1997:HPR,
  author =       "E. Antelo and J. Villalba and J. D. Bruguera and E. L.
                 Zapata",
  title =        "High-performance rotation architectures based on the
                 radix-4 {CORDIC} algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "46",
  number =       "8",
  pages =        "855--870",
  month =        aug,
  year =         "1997",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.609275",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Algebra; Computer architecture; Delay; Digital
                 arithmetic; Hardware; Image processing; Iterative
                 algorithms; Vectors; Very large scale integration",
}

@InProceedings{Aoki:1997:HRC,
  author =       "T. Aoki and H. Nogi and T. Higuchi",
  booktitle =    "{1997 IEEE Workshop on Signal Processing Systems. SiPS
                 97 Design and Implementation formerly VLSI Signal
                 Processing}",
  title =        "High-radix {CORDIC} algorithms for {VLSI} signal
                 processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "183--192",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/SIPS.1997.626115",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Convergence; Delay; Digital signal processors;
                 Equations; Hardware; Signal generators; Signal
                 processing algorithms; Very large scale integration",
}

@InProceedings{Chen:1997:CPP,
  author =       "Jie Chen and K. J. Ray Liu",
  booktitle =    "{1997 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A complete pipelined parallel {CORDIC} architecture
                 for motion estimation",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2801--2804 (vol. 4)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ISCAS.1997.612907",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Computer architecture;
                 Discrete cosine transforms; Educational institutions;
                 Hardware; Motion estimation; Systolic arrays;
                 Throughput; Very large scale integration; Video
                 codecs",
}

@InProceedings{Chen:1997:FPP,
  author =       "Jie Chen and K. J. Ray Liu",
  booktitle =    "{Proceedings of International Conference on Image
                 Processing}",
  title =        "A fully pipelined parallel {CORDIC} architecture for
                 half-pel motion estimation",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "574--577 (vol. 2)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ICIP.1997.638836",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Discrete cosine transforms;
                 Interpolation; Motion estimation; Parallel
                 architectures; Throughput; Video codecs",
}

@InProceedings{Haller:1997:HIS,
  author =       "B. Haller and M. Streiff and U. Fleisch and R.
                 Zimmermann",
  booktitle =    "{1997 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing}",
  title =        "Hardware implementation of a systolic antenna array
                 signal processor based on {CORDIC} arithmetic",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4141--4144 (vol. 5)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ICASSP.1997.604858",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive arrays; Antenna arrays; Application specific
                 integrated circuits; Hardware; Minimization; Radar
                 antennas; Real time systems; Signal processing
                 algorithms; Sonar; Systolic arrays",
}

@InProceedings{Hsiao:1997:VID,
  author =       "Shen-Fu Hsiao and Jen-Yin Chen",
  booktitle =    "{1997 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{VLSI} implementation of digit-recurrent {CORDIC} with
                 constant scaling factor",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2068--2071 (vol. 3)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ISCAS.1997.621563",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Application software; Cost function; Digital
                 arithmetic; Hardware; Propagation delay; Software
                 libraries; Standards development; Throughput; Very
                 large scale integration",
}

@InProceedings{Hsiao:1997:VIH,
  author =       "Shen-Fu Hsiao and Jen-Yin Chen",
  booktitle =    "{Proceedings of Technical Papers. International
                 Symposium on VLSI Technology, Systems, and
                 Applications}",
  title =        "{VLSI} Implementation of a High-Throughput {CORDIC}
                 Processor for Both Angle Calculation and Vector
                 Rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "227--231",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/VTSA.1997.614763",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Application software; Computer graphics;
                 Delay; Digital arithmetic; Digital signal processing;
                 Hardware; High performance computing; Throughput; Very
                 large scale integration",
}

@InProceedings{Lang:1997:CBC,
  author =       "Tom{\'a}s Lang and E. Antelo",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application-Specific Systems, Architectures and
                 Processors}",
  title =        "{CORDIC}-based computation of {ArcCos} and {ArcSin}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "132--143",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ASAP.1997.606820",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Contracts; Convergence;
                 Delay; Hardware; USA Councils",
}

@InProceedings{Lang:1997:CVA,
  author =       "Tom{\'a}s Lang and Elisardo Antelo",
  booktitle =    "{Proceedings 13th IEEE Symposium on Computer
                 Arithmetic}",
  title =        "{CORDIC} Vectoring with Arbitrary Target Value",
  crossref =     "Lang:1997:ISC",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "108--115",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ARITH.1997.614885",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib; OCLC
                 Proceedings database",
  URL =          "http://www.acsel-lab.com/arithmetic/arith13/papers/ARITH13_Lang.pdf",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-13; Contracts; Convergence; Equations;
                 Kinematics",
}

@InProceedings{Li:1997:RRC,
  author =       "Chieh-Chih Li and Sau-Gee Chen",
  booktitle =    "{1997 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing}",
  title =        "A radix-4 redundant {CORDIC} algorithm with fast
                 on-line variable scale factor compensation",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "639--642 (vol. 1)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ICASSP.1997.599849",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Art; Costs; Digital signal processing; Hardware;
                 Laboratories; Very large scale integration",
}

@Article{Lo:1997:UCA,
  author =       "Hao-Yung Lo",
  title =        "A unidirectional {CORDIC} algorithm for angle
                 computation and rotation",
  journal =      j-J-CHINESE-INST-ENG,
  volume =       "20",
  number =       "6",
  pages =        "693--700",
  month =        nov,
  year =         "1997",
  CODEN =        "CKCKDZ",
  DOI =          "https://doi.org/10.1080/02533839.1997.9741878",
  ISSN =         "2158-7299",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Chinese Institute of Engineers =
                 Chung-kuo kung ch'eng hsueh kan",
}

@InProceedings{Ma:1997:PCBa,
  author =       "Jun Ma and E. F. Deprettere and K. K. Parhi",
  booktitle =    "{1997 IEEE Workshop on Signal Processing Systems. SiPS
                 97 Design and Implementation formerly VLSI Signal
                 Processing}",
  title =        "Pipelined {CORDIC} based {QRD-RLS} adaptive filtering
                 using matrix lookahead",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "131--140",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/SIPS.1997.626110",
  ISBN =         "0-7803-3806-5",
  ISBN-13 =      "978-0-7803-3806-7",
  ISSN =         "1520-6130 (print), 2374-7390 (electronic)",
  ISSN-L =       "1520-6130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Adaptive signal processing;
                 Arithmetic; Array signal processing; Band pass filters;
                 Design methodology; Digital filters; Electronic mail;
                 Feedback loop; Filtering algorithms; IIR filters;
                 Jacobian matrices; Lattices; Least squares methods;
                 Pipeline processing; Signal processing algorithms; USA
                 Councils; Very large scale integration",
}

@InProceedings{Ma:1997:PCBb,
  author =       "J. P. Ma and K. K. Parhi and E. F. Deprettere",
  booktitle =    "{1997 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing}",
  title =        "Pipelining of {CORDIC} based {IIR} digital filters",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "643--646",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ICASSP.1997.599850",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Adaptive signal processing;
                 Arithmetic; Array signal processing; Band pass filters;
                 Design methodology; Digital filters; Electronic mail;
                 Feedback loop; Filtering algorithms; IIR filters;
                 Jacobian matrices; Lattices; Least squares methods;
                 Pipeline processing; Signal processing algorithms; USA
                 Councils; Very large scale integration",
}

@InBook{Muller:1997:CA,
  author =       "Jean-Michel Muller",
  booktitle =    "Elementary Functions",
  title =        "The {CORDIC} Algorithm",
  publisher =    pub-BIRKHAUSER-BOSTON,
  address =      pub-BIRKHAUSER-BOSTON:adr,
  pages =        "101--125",
  year =         "1997",
  DOI =          "https://doi.org/10.1007/978-1-4757-2646-6_6",
  ISBN =         "1-4757-2646-5",
  ISBN-13 =      "978-1-4757-2646-6",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Nahm:1997:FDS,
  author =       "Seunghyeon Nahm and Wonyong Sung",
  booktitle =    "{1997 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing}",
  title =        "A fast direction sequence generation method for
                 {CORDIC} processors",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "635--638 (vol. 1)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ICASSP.1997.599848",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Binary sequences; Demodulation;
                 Feedback control; Hardware; Iterative algorithms;
                 Linear approximation; Pipeline processing; Vectors;
                 Very large scale integration",
}

@InProceedings{P:1997:NCB,
  author =       "S. Kumar P and K. M. M. Prabhu",
  booktitle =    "{Proceedings High Performance Computing on the
                 Information Superhighway. HPC Asia '97}",
  title =        "Novel {CORDIC}-based systolic arrays for the {DFT} and
                 the {DHT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "547--551",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/HPC.1997.592206",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Clocks; Computer
                 architecture; Digital signal processing; Discrete
                 Fourier transforms; Discrete transforms; Fast Fourier
                 transforms; Signal processing algorithms; Systolic
                 arrays; Very large scale integration",
}

@InProceedings{Schimpfle:1997:LPC,
  author =       "C. V. Schimpfle and S. Simon and Josef A. Nossek",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application-Specific Systems, Architectures and
                 Processors}",
  title =        "Low power {CORDIC} implementation using redundant
                 number representation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "154--161",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ASAP.1997.606822",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Capacitance; Circuit synthesis; Design
                 automation; Design methodology; Design optimization;
                 Energy consumption; Hardware; High level synthesis;
                 Power dissipation",
}

@InBook{Timmermann:1997:URC,
  author =       "Dirk Timmermann and Steffen Dolling",
  booktitle =    "{VLSI}: Integrated Systems on Silicon",
  title =        "Unfolded Redundant {CORDIC} {VLSI} Architectures With
                 Reduced Area and Power Consumption",
  publisher =    "Springer US",
  pages =        "251--262",
  year =         "1997",
  DOI =          "https://doi.org/10.1007/978-0-387-35311-1_21",
  ISBN =         "0-387-35311-9",
  ISBN-13 =      "978-0-387-35311-1",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Misc{Underwood:1997:HDC,
  author =       "J. Underwood and B. Edwards",
  title =        "How do calculators calculate trigonometric
                 functions?",
  howpublished = "Educational Resources Information Center (ERIC)
                 document ED461519",
  year =         "1997",
  bibdate =      "Tue Nov 11 19:58:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://www.math.ufl.edu/~haven/papers/paper.pdf;
                 https://eric.ed.gov/?q=ED461519&id=ED461519",
  abstract =     "How does your calculator quickly produce values of
                 trigonometric functions? You might be surprised to
                 learn that it does not use series or polynomial
                 approximations, but rather the so-called CORDIC method.
                 This paper will focus on the geometry of the CORDIC
                 method, as originally developed by Volder in 1959. This
                 algorithm is a wonderful application of sequences and
                 will be demonstrated on the TI-86 graphing calculator.
                 A rigorous convergence proof for the CORDIC method is
                 also provided.",
  acknowledgement = ack-nhfb,
  remark =       "URL no longer resolvable at ufl.edu, and not found at
                 archive.org; PDF file under reconstruction at ERIC
                 site.",
}

@InProceedings{Villalba:1997:LLW,
  author =       "J. Villalba and Tom{\'a}s Lang",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application-Specific Systems, Architectures and
                 Processors}",
  title =        "Low latency word serial {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "124--131",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ASAP.1997.606819",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Application software; Arithmetic; Computer
                 architecture; Contracts; Delay; Error correction;
                 Iterative algorithms; Merging; Very large scale
                 integration",
}

@Article{Wang:1997:HCA,
  author =       "S. Wang and V. Piuri and E. E. Swartzlander",
  title =        "Hybrid {CORDIC} algorithms",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "46",
  number =       "11",
  pages =        "1202--1207",
  month =        nov,
  year =         "1997",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.644295",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Algorithm design and analysis; Complexity theory;
                 Computer architecture; Computer errors; Delay; Digital
                 arithmetic; Equations; Iterative algorithms;
                 Throughput",
}

@InProceedings{Wang:1997:MSM,
  author =       "Shaoyun Wang and V. Piuri and E. E. Swartzlander",
  booktitle =    "{1997 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Merged scaling multiplication {CORDIC} algorithm",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2581--2584 (vol. 4)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/ISCAS.1997.612852",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Arithmetic; Clocks; Complexity
                 theory; Computer applications; Control system
                 synthesis; Iterative algorithms; Signal processing
                 algorithms; Throughput; Very large scale integration",
}

@InBook{Wang:1997:UVC,
  author =       "Shaoyun Wang and Vincenzo Piuri",
  booktitle =    "Application Specific Processors",
  title =        "A Unified View of {CORDIC} Processor Design",
  publisher =    "Springer US",
  pages =        "121--160",
  year =         "1997",
  DOI =          "https://doi.org/10.1007/978-1-4613-1457-8_5",
  ISBN =         "1-4613-1457-7",
  ISBN-13 =      "978-1-4613-1457-8",
  ISSN =         "0893-3405",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Wedlake:1997:CID,
  author =       "M. Wedlake and H. L. Kwok",
  booktitle =    "{1997 IEEE Pacific Rim Conference on Communications,
                 Computers and Signal Processing, PACRIM. 10 Years
                 Networking the Pacific Rim, 1987-1997}",
  title =        "A {CORDIC} implementation of a digital artificial
                 neuron",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "798--801 (vol. 2)",
  year =         "1997",
  DOI =          "https://doi.org/10.1109/PACRIM.1997.620380",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial neural networks; Attenuation; Design
                 engineering; Feeds; Iterative algorithms; Multilayer
                 perceptrons; Neural network hardware; Neural networks;
                 Neurons; Vectors",
}

@PhdThesis{Abdoel-Gawad:1998:EHI,
  author =       "F. S. Abdoel-Gawad",
  title =        "Efficient hardware implementation of the {CORDIC}
                 algorithm ({BL})",
  type =         "{Ph.D.} thesis",
  school =       "Liverpool John Moores University",
  address =      "Liverpool, UK",
  pages =        "1",
  year =         "1998",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/efficient-hardware-implementation-cordic/docview/301520737/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "(UMI)AAIU112798; 0984:Computer science; Applied
                 sciences; Computer science",
  ris-m1 =       "U112798",
}

@InProceedings{Ahn:1998:VDC,
  author =       "Youngho Ahn and Seunghyeon Nahm and Wonyong Sung",
  booktitle =    "{1998 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{VLSI} design of a {CORDIC}-based derotator",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "449--452 (vol. 2)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.706973",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; Circuits; Computer architecture; Frequency
                 synthesizers; Iterative algorithms; Linear
                 approximation; Linearity; Pipeline processing;
                 Quadrature amplitude modulation; Very large scale
                 integration",
}

@InProceedings{Andraka:1998:SCA,
  author =       "R. Andraka",
  editor =       "????",
  booktitle =    "{FPGA '98, Proceedings of the 1998 ACM\slash SIGDA
                 Sixth International Symposium on Field Programmable
                 Gate Arrays, Monterey CA, 1998}",
  title =        "A survey of {CORDIC} algorithms for {FPGA} based
                 computers",
  publisher =    pub-ACM,
  address =      pub-ACM:adr,
  pages =        "191--200",
  year =         "1998",
  bibdate =      "Tue Nov 11 19:52:13 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Chen:1998:CPP,
  author =       "J. Chen and K. J. Ray Liu",
  title =        "A complete pipelined parallel {CORDIC} architecture
                 for motion estimation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-2,
  volume =       "45",
  number =       "5",
  pages =        "653--660",
  year =         "1998",
  CODEN =        "ICSPE5",
  DOI =          "https://doi.org/10.1109/82.673651",
  ISSN =         "1057-7130 (print), 1558-125X (electronic)",
  ISSN-L =       "1057-7130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. 2, Analog
                 and Digital Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=82",
  keywords =     "Delay; Design methodology; Electrocardiography; Finite
                 impulse response filter; IIR filters; Interference;
                 Magnetic field measurement; Magnetic separation; Motion
                 estimation; Power harmonic filters",
}

@InProceedings{Chen:1998:RCC,
  author =       "A. Chen and S. Yang",
  booktitle =    "{IEEE GLOBECOM 1998 (Cat. NO. 98CH36250)}",
  title =        "Reduced complexity {CORDIC} demodulator implementation
                 for {D-AMPS} and digital {IF-sampled} receiver",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1491--1496 (vol. 3)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/GLOCOM.1998.776595",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Arithmetic; Concurrent
                 computing; Costs; Demodulation; Digital filters;
                 Digital signal processing; Signal processing
                 algorithms; Technological innovation; Very large scale
                 integration",
}

@Article{Eklund:1998:CEF,
  author =       "Neil Eklund",
  title =        "{CORDIC}: Elementary function computation using
                 recursive sequences",
  journal =      "Electronic Proceeding of the ICTCM",
  volume =       "11",
  number =       "??",
  pages =        "C027--??",
  year =         "1998",
  bibdate =      "Tue Nov 11 19:55:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Elguibaly:1998:CAC,
  author =       "Fayez Elguibaly",
  title =        "{$ \alpha $-CORDIC}: an adaptive {CORDIC} algorithm",
  journal =      "Canadian Journal of Electrical and Computer
                 Engineering",
  volume =       "23",
  number =       "3",
  pages =        "133--138",
  year =         "1998",
  CODEN =        "CJEEEL",
  DOI =          "https://doi.org/10.1109/CJECE.1998.7102007",
  ISSN =         "0840-8688",
  ISSN-L =       "0840-8688",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "Can. J. Electr. Comput. Eng.",
  fjournal =     "Canadian Journal of Electrical and Computer
                 Engineering / Revue canadienne de g{\'e}nie
                 {\'e}lectrique et informatique",
  keywords =     "Convergence; Digital signal processing; Mathematical
                 model; Microprocessors; Program processors; Signal
                 processing algorithms; Silicon",
}

@InProceedings{Ghariani:1998:DCI,
  author =       "M. Ghariani and N. Masmoudi and M. W. Kharrat and L.
                 Kamoun",
  booktitle =    "{Proceedings of the Tenth International Conference on
                 Microelectronics (Cat. No. 98EX186)}",
  title =        "Design and chip implementation of modified {CORDIC}
                 algorithm for Sine and Cosine functions application:
                 {PARK} transformation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "241--244",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ICM.1998.825609",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Computer science; Equations; Field programmable gate
                 arrays; Hardware; Industrial electronics; Iterative
                 algorithms; Laboratories; Pipelines",
}

@InProceedings{Grayver:1998:DDF,
  author =       "E. Grayver and B. Daneshrad",
  booktitle =    "{ISCAS '98. Proceedings of the 1998 IEEE International
                 Symposium on Circuits and Systems (Cat. No.
                 98CH36187)}",
  title =        "Direct digital frequency synthesis using a modified
                 {CORDIC}",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "241--244 (vol. 5)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.694454",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Control
                 system synthesis; Equations; Frequency conversion;
                 Frequency modulation; Frequency synthesizers;
                 Integrated circuit synthesis; Phase control; Read only
                 memory; Signal synthesis",
}

@PhdThesis{Hekstra:1998:CHP,
  author =       "Gerben Johan Hekstra",
  title =        "{CORDIC} for high-performance numerical computation",
  type =         "{Dr.} Proefschrift",
  school =       "Technische Universiteit Delft",
  address =      "Delft, The Netherlands",
  pages =        "xiv + 236",
  year =         "1998",
  ISBN =         "90-90-11472-6",
  ISBN-13 =      "978-90-90-11472-9",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-high-performance-numerical-computation/docview/304492591/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0984:Computer science; Applied sciences; computer
                 arithmetic; Computer science; rotations",
  ris-m1 =       "C656970",
}

@InProceedings{Hormigo:1998:CAD,
  author =       "J. Hormigo and J. Villalba and E. L. Zapata",
  booktitle =    "{Conference Record of Thirty-Second Asilomar
                 Conference on Signals, Systems and Computers (Cat. No.
                 98CH36284)}",
  title =        "{CORDIC} algorithm with digits skipping",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "194--196 (vol. 1)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ACSSC.1998.750852",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Computer architecture;
                 Concurrent computing; Costs; Digital signal processing;
                 Equations; Hardware",
}

@Article{Hsiao:1998:DIA,
  author =       "Shen-Fu Hsiao and Jen-Yin Chen",
  title =        "Design, Implementation and Analysis of a New Redundant
                 {CORDIC} Processor with Constant Scaling Factor and
                 Regular Structure",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "20",
  number =       "3",
  pages =        "267--278",
  month =        dec,
  year =         "1998",
  DOI =          "https://doi.org/10.1023/a:1008035100004",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@Article{Hu:1998:CCA,
  author =       "Yu Hen Hu",
  title =        "On the convergence of the {CORDIC} adaptive lattice
                 filtering ({CALF}) algorithm",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "46",
  number =       "7",
  pages =        "1861--1871",
  year =         "1998",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.700954",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Adaptive filters; Arithmetic; Convergence; Filtering
                 algorithms; Iterative algorithms; Lattices; Probability
                 distribution; Reflection; Signal processing algorithms;
                 Stochastic processes",
}

@InProceedings{Hu:1998:DCB,
  author =       "Xiaobo Hu and M. L. Benson",
  booktitle =    "{1998 Midwest Symposium on Circuits and Systems (Cat.
                 No. 98CB36268)}",
  title =        "Design {CORDIC}-based systems using term rewriting
                 techniques",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "288--291",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/MWSCAS.1998.759489",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Aerospace industry; Application software; Computer
                 industry; Computer science; Costs; Design optimization;
                 Hardware; Integrated circuit interconnections; Logic
                 design; Optimizing compilers",
}

@InProceedings{Kwak:1998:ILI,
  author =       "Jae-Hyuek Kwak and E. E. Swartzlander",
  booktitle =    "{Conference Record of Thirty-Second Asilomar
                 Conference on Signals, Systems and Computers (Cat. No.
                 98CH36284)}",
  title =        "An implementation of level-index arithmetic based on
                 the low latency {CORDIC} system",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "208--212 (vol. 1)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ACSSC.1998.750855",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Calculators; Computer architecture; Concurrent
                 computing; Costs; Delay; Digital arithmetic; Equations;
                 Hardware; Parallel processing; Timing",
}

@Article{Lang:1998:CVA,
  author =       "Tom{\'a}s Lang and E. Antelo",
  title =        "{CORDIC} vectoring with arbitrary target value",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "47",
  number =       "7",
  pages =        "736--749",
  month =        jul,
  year =         "1998",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.709373",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Computer Society; Convergence; Digital arithmetic;
                 Equations; Error analysis; Manipulator dynamics;
                 Orbital robotics; Proposals; Robot kinematics",
}

@InProceedings{Lin:1998:CAF,
  author =       "Chun-Fu Lin and Sau-Gee Chen",
  booktitle =    "{1998 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A {CORDIC} algorithm with fast rotation prediction and
                 small iteration number",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "229--232 (vol. 5)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.694451",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Computer applications; Computer graphics; Concurrent
                 computing; Costs; Digital signal processing; Encoding;
                 Very large scale integration; Virtual reality",
}

@InProceedings{Looye:1998:MMF,
  author =       "A. Looye and G. Hekstra and E. Deprettere",
  booktitle =    "{1998 IEEE Workshop on Signal Processing Systems. SIPS
                 98. Design and Implementation (Cat. No. 98TH8374)}",
  title =        "Multiport memory and floating-point {CORDIC} pipeline
                 in {Jacobium} processing elements",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "406--416",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/SIPS.1998.715803",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Data analysis; Jacobian matrices; Jacobium dataflow
                 processor; Pipelines; Process design; Symmetric
                 matrices",
}

@InProceedings{Ma:1998:HSC,
  author =       "Jun Ma and K. K. Parhi and Ed.F. Deprettiere",
  booktitle =    "{1998 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "High-speed {CORDIC} based parallel weight extraction
                 for {QRD-RLS} adaptive filtering",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "245--248 (vol. 5)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.694455",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive arrays; Adaptive filters; Equations; Jacobian
                 matrices; Least squares approximation; Least squares
                 methods; Matrix decomposition; Pipeline processing;
                 Resonance light scattering; Signal processing
                 algorithms",
}

@InProceedings{Ma:1998:PCB,
  author =       "Jun Ma and K. K. Parhi and E. F. Deprettere",
  booktitle =    "{Proceedings of the 1998 IEEE International Conference
                 on Acoustics, Speech and Signal Processing, ICASSP '98
                 (Cat. No. 98CH36181)}",
  title =        "Pipelined {CORDIC} based {QRD-MVDR} adaptive
                 beamforming",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3025--3028 (vol. 5)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ICASSP.1998.678163",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Costs; Degradation; Directive
                 antennas; Filtering; Hardware; Jacobian matrices;
                 Pipeline processing; Vectors; Very large scale
                 integration",
}

@InProceedings{Ma:1998:PIC,
  author =       "Jun Ma and K. K. Parhi and E. F. Deprettere",
  booktitle =    "{ICSP '98. 1998 Fourth International Conference on
                 Signal Processing (Cat. No. 98TH8344)}",
  title =        "Pipelined implementation of {CORDIC}-based {QRD-MVDR}
                 adaptive beamforming",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "514--517 (vol. 1)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ICOSP.1998.770263",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Costs; Degradation; Directive
                 antennas; Hardware; Jacobian matrices; Paper
                 technology; Pipeline processing; Vectors; Very large
                 scale integration",
}

@InProceedings{Mencer:1998:ARC,
  author =       "O. Mencer and L. Semeria and M. Morf and J.-M.
                 Delosme",
  booktitle =    "{Conference Record of Thirty-Second Asilomar
                 Conference on Signals, Systems and Computers (Cat. No.
                 98CH36284)}",
  title =        "Application of reconfigurable {CORDIC} architectures",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "182--186 (vol. 1)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ACSSC.1998.750850",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Algorithm design and analysis;
                 Arithmetic; Computer architecture; Delay;
                 Multidimensional systems; Parallel processing; Pipeline
                 processing; Radar applications; Sonar applications",
}

@Article{Mertzios:1998:FID,
  author =       "Basil G. Mertzios",
  title =        "Fast implementation of direct robot kinematics with
                 {CORDIC} systolic arrays",
  journal =      j-INT-J-COMPUT-MATH,
  volume =       "67",
  number =       "3--4",
  pages =        "239--260",
  month =        jan,
  year =         "1998",
  CODEN =        "IJCMAT",
  DOI =          "https://doi.org/10.1080/00207169808804663",
  ISSN =         "1029-0265",
  ISSN-L =       "0020-7160",
  MRclass =      "70B15",
  MRnumber =     "1682387",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0909.70005",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Computer Mathematics",
  journal-URL =  "http://www.tandfonline.com/loi/gcom20",
  keywords =     "70-08,70B15",
  ZBmath =       "1160111",
}

@Article{Meyer-Base:1998:FMC,
  author =       "U. Meyer-B{\"a}se and A. Meyer-B{\"a}se and J. Mellott
                 and F. Taylor",
  title =        "A Fast Modified {CORDIC} --- Implementation of Radial
                 Basis Neural Networks",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "20",
  number =       "3",
  pages =        "211--218",
  month =        dec,
  year =         "1998",
  DOI =          "https://doi.org/10.1023/a:1008006030955",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@InProceedings{Nahm:1998:CBD,
  author =       "Seunghyeon Nahm and Kyungtae Han and Wonyong Sung",
  booktitle =    "{1998 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A {CORDIC}-based digital quadrature mixer: comparison
                 with a {ROM}-based architecture",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "385--388 (vol. 4)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.698871",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude modulation; Baseband; Costs; Demodulation;
                 Frequency; Hardware; Quadrature amplitude modulation;
                 Quadrature phase shift keying; Read only memory; Signal
                 generators",
}

@Article{Phatak:1998:CDM,
  author =       "D. S. Phatak",
  title =        "Comments on {Duprat} and {Muller}'s branching {CORDIC}
                 paper",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "47",
  number =       "9",
  pages =        "1037--1040",
  month =        sep,
  year =         "1998",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.713326",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See \cite{Duprat:1993:CAN}.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Delay effects; Equations; Error correction",
}

@Article{Phatak:1998:DSB,
  author =       "D. S. Phatak",
  title =        "Double step branching {CORDIC}: a new algorithm for
                 fast sine and cosine generation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "47",
  number =       "5",
  pages =        "587--602",
  month =        may,
  year =         "1998",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.677251",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Application software; Computer architecture; Discrete
                 Fourier transforms; Fourier transforms; Hardware;
                 Singular value decomposition; Software performance;
                 Table lookup; Very large scale integration",
}

@Article{Saranli:1998:CRR,
  author =       "Afsar Saranli and Buyurman Baykal",
  title =        "Complexity reduction in radial basis function ({RBF})
                 networks by using radial {B}-spline functions",
  journal =      j-NEUROCOMPUTING,
  volume =       "18",
  number =       "1",
  pages =        "183--194",
  year =         "1998",
  CODEN =        "NRCGEO",
  DOI =          "https://doi.org/10.1016/S0925-2312(97)00078-7",
  ISSN =         "0925-2312 (print), 1872-8286 (electronic)",
  ISSN-L =       "0925-2312",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0925231297000787",
  acknowledgement = ack-nhfb,
  fjournal =     "Neurocomputing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/09252312",
  keywords =     "B-splines; Computational complexity; CORDIC; RBF",
}

@Article{Sarmiento:1998:CPF,
  author =       "R. Sarmiento and F. Tobajas and V. de Armas and R.
                 Esper-Chain and J. F. Lopez and J. A. Montiel-Nelson
                 and A. Nunez",
  title =        "A {CORDIC} processor for {FFT} computation and its
                 implementation using gallium arsenide technology",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "6",
  number =       "1",
  pages =        "18--30",
  year =         "1998",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/92.661241",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Adders; Computer architecture; Energy consumption;
                 Fast Fourier transforms; Frequency; Gallium arsenide;
                 Pipeline processing; Signal processing algorithms;
                 Silicon; Very large scale integration",
}

@Article{Shi:1998:ICA,
  author =       "Jinglin Shi and Tao Li and Bo Yu and Qunying Zhang and
                 Yueqiu Han",
  title =        "Improvement of {CORDIC} algorithm",
  journal =      "J. Beijing Inst. Tech.",
  volume =       "7",
  number =       "4",
  pages =        "400--405",
  year =         "1998",
  ISSN =         "1004-0579",
  MRclass =      "65D20 (94A12)",
  MRnumber =     "1681419",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Beijing Institute of Technology. Journal",
}

@InProceedings{Shiraishi:1998:INA,
  author =       "S.-i. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{1998 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "An implementation of a normalized {ARMA} lattice
                 filter with a {CORDIC} algorithm",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "253--256 (vol. 5)",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ISCAS.1998.694457",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Computer architecture; Digital
                 filters; Hardware; Iterative algorithms; Lattices;
                 Signal analysis; Signal processing algorithms; Signal
                 synthesis; Speech analysis",
}

@Article{Villalba:1998:PCS,
  author =       "J. Villalba and Tom{\'a}s Lang and E. L. Zapata",
  title =        "Parallel Compensation of Scale Factor for the {CORDIC}
                 Algorithm",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "19",
  number =       "3",
  pages =        "227--241",
  month =        aug,
  year =         "1998",
  DOI =          "https://doi.org/10.1023/a:1008013707124",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@Article{Villalba:1998:RVC,
  author =       "J. Villalba and E. L. Zapata and E. Antelo and J. D.
                 Bruguera",
  title =        "Radix-4 Vectoring {CORDIC} Algorithm and
                 Architectures",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "19",
  number =       "2",
  pages =        "127--147",
  month =        jul,
  year =         "1998",
  DOI =          "https://doi.org/10.1023/a:1008061701575",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@PhdThesis{Wang:1998:CAP,
  author =       "Shaoyun Wang",
  title =        "A {CORDIC} arithmetic processor",
  type =         "{Ph.D.} thesis",
  school =       "The University of Texas at Austin",
  address =      "Austin, TX, USA",
  pages =        "136",
  year =         "1998",
  ISBN =         "0-591-91832-3",
  ISBN-13 =      "978-0-591-91832-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-arithmetic-processor/docview/304459653/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Swartzlander, Earl E., Jr.",
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Arithmetic processor; Computer
                 science; Coordinate rotation; Cordic; Electrical
                 engineering; Plane rotation",
  ris-m1 =       "9838156",
}

@InProceedings{Wassatsch:1998:AMR,
  author =       "A. Wassatsch and S. Dolling and Dirk Timmermann",
  booktitle =    "{Proceedings International Conference on Computer
                 Design. VLSI in Computers and Processors (Cat. No.
                 98CB36273)}",
  title =        "Area minimization of redundant {CORDIC} pipeline
                 architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "136--141",
  year =         "1998",
  DOI =          "https://doi.org/10.1109/ICCD.1998.727034",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Computer science; Electronic
                 switching systems; Equations; Hip; Information
                 technology; Microelectronics; Pipelines; Proposals;
                 Throughput",
}

@InProceedings{Antelo:1999:VHR,
  author =       "E. Antelo and Tom{\'a}s Lang and J. D. Bruguera",
  booktitle =    "{Proceedings 14th IEEE Symposium on Computer
                 Arithmetic (Cat. No. 99CB36336)}",
  title =        "Very-high radix {CORDIC} vectoring with scalings and
                 selection by rounding",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "204--213",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ARITH.1999.762846",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Contracts; Costs; Polynomials",
}

@InProceedings{Antelo:1999:VRC,
  author =       "Elisardo Antelo and Tom{\'a}s Lang and Javier D.
                 Bruguera",
  title =        "Very-High Radix {CORDIC} Vectoring with Scalings and
                 Selection by Rounding",
  crossref =     "Koren:1999:ISC",
  pages =        "204--213",
  year =         "1999",
  bibdate =      "Mon Feb 7 07:28:26 MST 2000",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://euler.ecs.umass.edu/paper/final/paper-154.pdf;
                 http://euler.ecs.umass.edu/paper/final/paper-154.ps;
                 http://www.acsel-lab.com/arithmetic/arith14/papers/ARITH14_Antelo.pdf",
  abstract =     "A very-high radix algorithm and implementation for
                 circular CORDIC in vectoring mode is presented. As for
                 division, to simplify the selection function, the
                 operands are pre-scaled. However, in the CORDIC
                 algorithm the coordinate x varies during the execution
                 so several scalings might be needed; we show that two
                 scalings are sufficient. Moreover, the compensation of
                 the variable scale factor is done by computing the
                 logarithm of the scale factor and performing the
                 compensation by an exponential. Estimations of the
                 delay for 32-bit precision show a speed up of about two
                 with respect to the radix-4 case with redundant
                 addition. This speed up is obtained at the cost of an
                 increase in the hardware complexity, which is moderate
                 for the pipelined implementation.",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-14; computer arithmetic",
  summary =      "A very-high radix algorithm and implementation for
                 circular CORDIC in vectoring mode is presented. As for
                 division, to simplify the selection function, the
                 operands are pre-scaled. However in the CORDIC
                 algorithm the coordinate x varies during the \ldots{}",
}

@InProceedings{Choi:1999:HSC,
  author =       "Jae Hun Choi and Jae-Hyuck Kwak and E. E.
                 Swartzlander",
  booktitle =    "{Proceedings 1999 IEEE International Conference on
                 Computer Design: VLSI in Computers and Processors (Cat.
                 No. 99CB37040)}",
  title =        "High-speed {CORDIC} architecture based on redundant
                 sum formation and overlapped $ \sigma $-selection",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "68--72",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ICCD.1999.808388",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Added delay; Circuits; Delay effects; Delay
                 estimation; Equations; Hardware; Logic; Performance
                 analysis; Throughput; Timing",
}

@InProceedings{Hormigo:1999:ISC,
  author =       "J. Hormigo and J. Villalba and E. L. Zapata",
  booktitle =    "{Proceedings 14th IEEE Symposium on Computer
                 Arithmetic (Cat. No. 99CB36336)}",
  title =        "Interval sine and cosine functions computation based
                 on variable-precision {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "186--193",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ARITH.1999.762844",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Arithmetic; Computer
                 architecture; Equations; Hardware; Iterative
                 algorithms; Polynomials; Reactive power; Roundoff
                 errors; Software packages",
}

@InProceedings{Hsiao:1999:HSC,
  author =       "Shen-Fu Hsiao",
  booktitle =    "{1999 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A high-speed constant-factor redundant {CORDIC}
                 processor without extra correcting or scaling
                 iterations",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "455--458 (vol. 1)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ISCAS.1999.777913",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Application software; Design engineering;
                 Digital arithmetic; Digital signal processing chips;
                 Hardware; Indexing; Process design; Signal processing
                 algorithms; Very large scale integration",
}

@InProceedings{Juang:1999:LPF,
  author =       "Tso Bing Juang and Shen Fu Hsiao",
  booktitle =    "{42nd Midwest Symposium on Circuits and Systems (Cat.
                 No. 99CH36356)}",
  title =        "Low power and fast {CORDIC} processor for vector
                 rotation",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "81--83 (vol. 1)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/MWSCAS.1999.867214",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer graphics; Detectors;
                 Digital arithmetic; Digital signal processing;
                 Hardware; Power engineering and energy; Power
                 engineering computing; Robot control; Signal processing
                 algorithms",
}

@InProceedings{Kantabutra:1999:HRC,
  author =       "Vitit Kantabutra",
  booktitle =    "{ICECS'99. Proceedings of ICECS '99. 6th IEEE
                 International Conference on Electronics, Circuits and
                 Systems (Cat. No. 99EX357)}",
  title =        "High-radix {CORDIC} for vector rotation with pipelined
                 {FPGA} implementation",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1131--1134 (vol. 2)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ICECS.1999.813433",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computational modeling; Delay; Demodulation;
                 Digital signal processing; Field programmable gate
                 arrays; Mathematics; Motion control; Signal processing
                 algorithms; Vectors",
}

@Article{Katiyar:1999:ARC,
  author =       "Mayank Katiyar and K. M. M. Prabhu",
  title =        "Angle recoding {CORDIC}-based systolic arrays for
                 {DFT} and {DHT} of prime length",
  journal =      j-COMPUT-ELECTR-ENG,
  volume =       "25",
  number =       "3",
  pages =        "181--193",
  year =         "1999",
  CODEN =        "CPEEBQ",
  DOI =          "https://doi.org/10.1016/S0045-7906(98)00034-2",
  ISSN =         "0045-7906 (print), 1879-0755 (electronic)",
  ISSN-L =       "0045-7906",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0045790698000342",
  acknowledgement = ack-nhfb,
  fjournal =     "Computers and Electrical Engineering",
  keywords =     "Angle recording; Cordic; DFT; Systolic arrays; VLSI
                 arrays",
}

@InProceedings{Kuhlmann:1999:HSC,
  author =       "M. Kuhlmann and K. K. Parhi",
  booktitle =    "{1999 IEEE Workshop on Signal Processing Systems. SiPS
                 99. Design and Implementation (Cat. No. 99TH8461)}",
  title =        "A high-speed {CORDIC} algorithm and architecture for
                 {DSP} applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "732--741",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/SIPS.1999.822381",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Clocks; Computer architecture;
                 Delay; Digital filters; Digital signal processing;
                 Image processing; Pipeline processing; Signal
                 processing algorithms; Vectors",
}

@InProceedings{Kuhlmann:1999:NCR,
  author =       "M. Kuhlmann and K. K. Parhi",
  booktitle =    "{Conference Record of the Thirty-Third Asilomar
                 Conference on Signals, Systems, and Computers (Cat. No.
                 CH37020)}",
  title =        "A novel {CORDIC} rotation method for generalized
                 coordinate systems",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1361--1367 (vol. 2)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ACSSC.1999.831929",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Clocks; Computer architecture;
                 Delay; Image processing; Iterative algorithms; Pipeline
                 processing; Signal processing; Signal processing
                 algorithms; Vectors",
}

@InProceedings{Kwak:1999:NSP,
  author =       "Mae-Hyuck Kwak and E. E. Swartzlander",
  booktitle =    "{42nd Midwest Symposium on Circuits and Systems (Cat.
                 No. 99CH36356)}",
  title =        "A new scheme for prediction of rotation directions in
                 {CORDIC} processing",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "870--873 (vol. 2)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/MWSCAS.1999.867773",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Added delay; Arithmetic; Degradation; Equations;
                 Hardware; Logic; Pipeline processing; Prediction
                 algorithms; Throughput; Timing",
}

@InProceedings{Lewis:1999:CLN,
  author =       "D. Lewis",
  booktitle =    "{Proceedings 14th IEEE Symposium on Computer
                 Arithmetic (Cat. No. 99CB36336)}",
  title =        "Complex logarithmic number system arithmetic using
                 high-radix redundant {CORDIC} algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "194--203",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ARITH.1999.762845",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Convergence; Digital
                 arithmetic",
}

@InProceedings{Ma:1999:ATA,
  author =       "Jun Ma and K. K. Parhi and E. F. Deprettere",
  booktitle =    "{Conference Record of the Thirty-Third Asilomar
                 Conference on Signals, Systems, and Computers (Cat. No.
                 CH37020)}",
  title =        "An algorithm transformation approach to {CORDIC} based
                 parallel singular value decompositions architectures",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1401--1405 (vol. 2)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ACSSC.1999.831936",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Digital signal
                 processing; Feedback loop; Flow graphs; Linear algebra;
                 Parallel architectures; Signal processing algorithms;
                 Singular value decomposition; Very large scale
                 integration",
}

@InProceedings{McInerney:1999:HMC,
  author =       "S. McInerney and R. B. Reilly",
  booktitle =    "{1999 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings. ICASSP99
                 (Cat. No. 99CH36258)}",
  title =        "Hybrid multiplier\slash {CORDIC} unit for online
                 handwriting recognition",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1909--1912 (vol. 4)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ICASSP.1999.758297",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Arithmetic; Character recognition;
                 Digital signal processing; Euclidean distance; Feature
                 extraction; Handwriting recognition; Hardware;
                 Iterative algorithms; Personal digital assistants",
}

@Article{Padala:1999:PCP,
  author =       "Satish Kumar Padala and K. M. M. Prabhu",
  title =        "Pipelined {CORDIC} processors for generating
                 {Gaussian} random numbers",
  journal =      j-SIG-PROC,
  volume =       "72",
  number =       "3",
  pages =        "177--181",
  year =         "1999",
  CODEN =        "SPRODR",
  DOI =          "https://doi.org/10.1016/S0165-1684(98)00178-9",
  ISSN =         "0165-1684 (print), 1872-7557 (electronic)",
  ISSN-L =       "0165-1684",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  ZMnumber =     "0931.65002",
  acknowledgement = ack-nhfb,
  ajournal =     "Signal Process.",
  fjournal =     "Signal Processing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/01651684",
  keywords =     "65C10",
  ZBmath =       "1257843",
}

@InProceedings{Park:1999:DSS,
  author =       "Minkyoung Park and Sungsoo Choi and Seunggeun Kim and
                 Kiseon Kim and Jeong-A Lee",
  booktitle =    "{Fifth Asia-Pacific Conference on \ldots{} and Fourth
                 Optoelectronics and Communications Conference on
                 Communications}",
  title =        "A digital sinusoid synthesis based on the postscaled
                 {CORDIC}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "948--951 (vol. 2)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/APCC.1999.820420",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Approximation error; Computer
                 errors; Computer science; Digital arithmetic; Digital
                 communication; Hardware; Navigation; Signal processing;
                 Signal processing algorithms",
}

@InProceedings{Vankka:1999:CBM,
  author =       "J. Vankka and M. Kosunen and J. Hubach and K.
                 Halonen",
  booktitle =    "{Seamless Interconnection for Universal Services.
                 Global Telecommunications Conference. GLOBECOM'99.
                 (Cat. No. 99CH37042)}",
  title =        "A {CORDIC}-based multicarrier {QAM} modulator",
  volume =       "1A",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "173--177 (vol. 1a)",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/GLOCOM.1999.831630",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Amplitude modulation; Electronic circuits;
                 Frequency; Multiaccess communication; Power amplifiers;
                 Power harmonic filters; Pulse modulation; Quadrature
                 amplitude modulation; Wideband",
}

@Article{Hu:19xx:ECB,
  author =       "Yu Hen Hu and H. M. Chern",
  title =        "An efficient {CORDIC} backward angle recoding
                 algorithm and its applications to digital signal
                 processing",
  journal =      "????",
  volume =       "??",
  number =       "??",
  pages =        "??--??",
  month =        "????",
  year =         "19xx",
  DOI =          "",
  bibdate =      "Wed Oct 29 11:21:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "Was this paper ever published?? Cited in \cite[p. 34,
                 ref. 50]{Hu:1992:CBR}. Neither Bing nor DuckDuckGo nor
                 Google searches find it.",
}

@Article{Antelo:2000:VHRa,
  author =       "Elisardo Antelo and Tom{\'a}s Lang and Javier D.
                 Bruguera",
  title =        "Very-High Radix {CORDIC} Rotation Based on Selection
                 by Rounding",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "141--153",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008119006403",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0963.68238",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68W35",
  ZBmath =       "1507619",
}

@Article{Antelo:2000:VHRb,
  author =       "E. Antelo and Tom{\'a}s Lang and J. D. Bruguera",
  title =        "Very-high radix circular {CORDIC}: vectoring and
                 unified rotation\slash vectoring",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "49",
  number =       "7",
  pages =        "727--739",
  month =        jul,
  year =         "2000",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/12.863043",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Computer architecture; Convergence; Costs; Delay
                 estimation; Hardware; Proposals",
}

@Article{Bekooij:2000:NAF,
  author =       "M. Bekooij and J. Huisken and K. Nowak",
  title =        "Numerical Accuracy of {Fast Fourier Transforms} with
                 {CORDIC} Arithmetic",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "187--193",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008179225059",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0963.68241",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68W35",
  ZBmath =       "1507623",
}

@InBook{Bellis:2000:CAF,
  author =       "Stephen J. Bellis and William P. Marnane",
  booktitle =    "Field-Programmable Logic and Applications: The Roadmap
                 to Reconfigurable Computing",
  title =        "A {CORDIC} Arctangent {FPGA} Implementation for a
                 High-Speed 3D-Camera System",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "485--494",
  year =         "2000",
  DOI =          "https://doi.org/10.1007/3-540-44614-1_53",
  ISBN =         "3-540-44614-1",
  ISBN-13 =      "978-3-540-44614-9",
  ISSN =         "0302-9743 (print), 1611-3349 (electronic)",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Chih:2000:FCA,
  author =       "Jen-Chuan Chih and Sau-Gee Chen",
  booktitle =    "{2000 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A fast {CORDIC} algorithm based on a novel angle
                 recoding scheme",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "621--624 (vol. 4)",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/ISCAS.2000.858828",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Chaos; Convergence; Design engineering; Encoding;
                 Equations; Iterative algorithms; Signal processing
                 algorithms; Table lookup",
}

@InProceedings{Costello:2000:BSLa,
  author =       "J. Costello and D. Al-Khalili",
  booktitle =    "{ICECS 2000. 7th IEEE International Conference on
                 Electronics, Circuits and Systems (Cat. No. 00EX445)}",
  title =        "Behavioural synthesis of low power floating point
                 {CORDIC} processors",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "506--509 (vol. 1)",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/ICECS.2000.911589",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Digital arithmetic; Digital
                 signal processing; Educational institutions; Embedded
                 system; Equations; Hardware design languages; Military
                 computing; Signal processing algorithms;
                 System-on-a-chip",
}

@MastersThesis{Costello:2000:BSLb,
  author =       "Joseph Patrick Costello",
  title =        "Behavioural synthesis of low-power floating point
                 {CORDIC} processors",
  type =         "{M.Eng.} thesis",
  school =       "Royal Military College of Canada",
  address =      "Kingston, ON, Canada",
  pages =        "183",
  year =         "2000",
  ISBN =         "0-612-65854-6",
  ISBN-13 =      "978-0-612-65854-7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/behavioural-synthesis-low-power-floating-point/docview/304678593/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Dhamin Al-Khalili",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "MQ65854",
}

@InProceedings{Gisutham:2000:HSF,
  author =       "B. Gisutham and T. Srikanthan and K. V. Asari",
  booktitle =    "{Proceedings Fifth IEEE International Workshop on
                 Computer Architectures for Machine Perception}",
  title =        "A high speed flat {CORDIC} based neuron with
                 multi-level activation function for robust pattern
                 recognition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "87--94",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/CAMP.2000.875962",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Costs; Delay; Image resolution; Multivalued
                 logic; Neural network hardware; Neural networks;
                 Neurons; Pattern recognition; Very large scale
                 integration",
}

@InProceedings{Gisuthan:2000:FCU,
  author =       "B. Gisuthan and T. Srikanthan",
  booktitle =    "{Proceedings of the 43rd IEEE Midwest Symposium on
                 Circuits and Systems (Cat. No. CH37144)}",
  title =        "Flat {CORDIC}: a unified architecture for high-speed
                 generation of trigonometric and hyperbolic functions",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1414--1417 (vol. 3)",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/MWSCAS.2000.951478",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuits; CMOS process; Computer
                 architecture; Delay; Equations; Iterative algorithms;
                 Libraries; Silicon; Very large scale integration",
}

@Article{Hamill:2000:OCA,
  author =       "R. Hamill and J. V. McCanny and R. L. Walke",
  title =        "Online {CORDIC} algorithm and {VLSI} architecture for
                 implementing {$ Q R $}-array processors",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "48",
  number =       "2",
  pages =        "592--598",
  year =         "2000",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.823992",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Arithmetic; Array signal processing; CMOS process;
                 Convergence; Delay; Laboratories; Process design;
                 Signal processing algorithms; Systolic arrays; Very
                 large scale integration",
}

@Article{Hekstra:2000:SIC,
  author =       "Gerben Hekstra and Ed Deprettere and Jeong-A Lee",
  title =        "Special issue on {CORDIC}",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "99--196",
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008129720516",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0955.00020",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "00B15,68-06",
  ZBmath =       "1507616",
}

@Article{Hsiao:2000:RCF,
  author =       "Shen-Fu Hsiao and Chun-Yi Lau and Jean-Marc Delosme",
  title =        "Redundant Constant-Factor Implementation of
                 Multi-Dimensional {CORDIC} and Its Application to
                 Complex {SVD}",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "155--166",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008171023241",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0962.68184",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68W35",
  ZBmath =       "1507620",
}

@InProceedings{Juang:2000:DCP,
  author =       "Tso-Bing Juang and Shen-Fu Hsiao",
  booktitle =    "{2000 Southwest Symposium on Mixed-Signal Design (Cat.
                 No. 00EX390)}",
  title =        "Discussions on the {CORDIC} processor using leading
                 zeros detector",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "175--178",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/SSMSD.2000.836468",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer science; Detectors; Equations; Logic
                 functions; Logic gates; Signal generators; Sun",
}

@InProceedings{Kwak:2000:FTH,
  author =       "J.-H. Kwak and V. Piuri and E. E. Swartzlander",
  booktitle =    "{Proceedings IEEE International Symposium on Defect
                 and Fault Tolerance in VLSI Systems}",
  title =        "Fault-tolerant high-performance {CORDIC} processors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "164--172",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/DFTVS.2000.887154",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Complexity theory; Computer errors; Delay;
                 Electrical fault detection; Fault tolerance; Hardware;
                 Pipeline processing; Redundancy; Throughput",
}

@Article{Kwak:2000:HSCa,
  author =       "Jae-Hyuck Kwak and Jae Hun Choi and Earl E.
                 {Swartzlander, Jr.}",
  title =        "High-speed {CORDIC} based on an overlapped
                 architecture and a novel $ \sigma $-prediction method",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "167--177",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008123124150",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0963.68239",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68W35,68M99",
  ZBmath =       "1507621",
}

@PhdThesis{Kwak:2000:HSCb,
  author =       "Jae-Hyuck Kwak",
  title =        "High speed {CORDIC} processor designs: Algorithms,
                 architectures, and applications",
  type =         "{Ph.D.} thesis",
  school =       "The University of Texas at Austin",
  address =      "Austin, TX, USA",
  pages =        "115",
  year =         "2000",
  ISBN =         "0-599-89858-5",
  ISBN-13 =      "978-0-599-89858-5",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/high-speed-cordic-processor-designs-algorithms/docview/304633329/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Swartzlander, Earl E., Jr.",
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Computer science; Coordinate rotation
                 digital computer; Electrical engineering; Iterative
                 processing",
  ris-m1 =       "9983262",
}

@Article{Lang:2000:CBC,
  author =       "Tom{\'a}s Lang and Elisardo Antelo",
  title =        "{CORDIC}-Based Computation of {ArcCos} and $ \sqrt {1
                 - t^2} $",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "1",
  pages =        "19--38",
  month =        may,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008121502359",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  remark =       "From page 32, bottom of column 1: ``We now consider
                 the minimum datapath width which assures convergence
                 using the approximation. In Appendix 3 we report an
                 error analysis which shows that this width is $ n +
                 \log_2 (k) + 3 $.",
}

@Article{Ma:2000:ARL,
  author =       "Jun Ma and K. K. Parhi and E. F. Deprettere",
  title =        "Annihilation-reordering look-ahead pipelined
                 {CORDIC}-based {RLS} adaptive filters and their
                 application to adaptive beamforming",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "48",
  number =       "8",
  pages =        "2414--2431",
  year =         "2000",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.852021",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Adaptive filters; Arithmetic; Array signal processing;
                 Concurrent computing; Convergence; Degradation;
                 Filtering algorithms; Pipeline processing; Resonance
                 light scattering; Stability",
}

@Article{Ma:2000:EIP,
  author =       "Jun Ma and K. K. Parhi and G. J. Hekstra and E. F.
                 Deprettere",
  title =        "Efficient implementations of pipelined {CORDIC} based
                 {IIR} digital filters using fast orthonormal $ \mu
                 $-rotations",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "48",
  number =       "9",
  pages =        "2712--2716",
  year =         "2000",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.863093",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Band pass filters; Computer architecture; Digital
                 filters; IIR filters; Jacobian matrices; Laboratories;
                 Nonlinear filters; Pipeline processing; Transfer
                 functions; Very large scale integration",
}

@PhdThesis{Ma:2000:HPC,
  author =       "Jun Ma",
  title =        "High-performance {CORDIC}-based orthogonal recursive
                 and adaptive filtering",
  type =         "{Ph.D.} thesis",
  school =       "University of Minnesota",
  address =      "Minneapolis, MN, USA",
  pages =        "171",
  year =         "2000",
  ISBN =         "0-599-64828-7",
  ISBN-13 =      "978-0-599-64828-9",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/high-performance-cordic-based-orthogonal/docview/304607791/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Keshab K. Parhi",
  keywords =     "0544:Electrical engineering; Adaptive filtering;
                 Applied sciences; CORDIC-based; Electrical engineering;
                 Orthogonal filters; Recursive filters",
  ris-m1 =       "9961005",
}

@Article{Ma:2000:PCB,
  author =       "J. Ma and K. K. Parhi and E. F. Deprettere",
  title =        "Pipelined {CORDIC}-based cascade orthogonal {IIR}
                 digital filters",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-2,
  volume =       "47",
  number =       "11",
  pages =        "1238--1253",
  year =         "2000",
  CODEN =        "ICSPE5",
  DOI =          "https://doi.org/10.1109/82.885131",
  ISSN =         "1057-7130 (print), 1558-125X (electronic)",
  ISSN-L =       "1057-7130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. 2, Analog
                 and Digital Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=82",
  keywords =     "Digital filters; Feedback loop; Filtering theory;
                 Finite wordlength effects; IIR filters; Inverse
                 problems; Limit-cycles; Pipeline processing; Transfer
                 functions; Very large scale integration",
}

@InBook{Mencer:2000:ARCa,
  author =       "Oskar Mencer and Luc S{\'e}m{\'e}ria and Martin Morf
                 and Jean-Marc Delosme",
  booktitle =    "Field-Programmable Custom Computing Technology:
                 Architectures, Tools, and Applications",
  title =        "Application of Reconfigurable {CORDIC} Architectures",
  publisher =    "Springer US",
  pages =        "85--95",
  year =         "2000",
  DOI =          "https://doi.org/10.1007/978-1-4615-4417-3_6",
  ISBN =         "1-4615-4417-3",
  ISBN-13 =      "978-1-4615-4417-3",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Mencer:2000:ARCb,
  author =       "Oskar Mencer and Luc S{\'e}m{\'e}ria and Martin Morf
                 and Jean-Marc Delosme",
  title =        "Application of Reconfigurable {CORDIC} Architectures",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "24",
  number =       "2--3",
  pages =        "211--221",
  month =        mar,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008145506415",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@InProceedings{Musicer:2000:MCM,
  author =       "J. M. Musicer and J. Rabaey",
  booktitle =    "{ISLPED'00: Proceedings of the 2000 International
                 Symposium on Low Power Electronics and Design (Cat. No.
                 00TH8514)}",
  title =        "{MOS} current mode logic for low power, low noise
                 {CORDIC} computation in mixed-signal environments",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "102--107",
  year =         "2000",
  DOI =          "https://doi.org/10.1145/344166.344532",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit noise; CMOS logic circuits; CMOS process;
                 Energy consumption; Logic circuits; Logic design; Logic
                 devices; Permission; Voltage; Working environment
                 noise",
}

@InProceedings{Otte:2000:CCL,
  author =       "M. Otte and M. Bucker and J{\"u}rgen G{\"o}tze",
  booktitle =    "{2000 International Zurich Seminar on Broadband
                 Communications. Accessing, Transmission, Networking.
                 Proceedings (Cat. No. 00TH8475)}",
  title =        "Complex {CORDIC-like} algorithms for linearly
                 constrained {MVDR} beamforming",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "97--104",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/IZSBC.2000.829236",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive arrays; Array signal processing; Broadband
                 antennas; Ear; Information processing; Least squares
                 methods; Process design; Signal processing algorithms;
                 Very large scale integration; Wireless communication",
}

@Article{Pashea:2000:MCM,
  author =       "Michael Pashea",
  title =        "Microcontrollers and {CORDIC} Methods",
  journal =      j-DDJ,
  volume =       "25",
  number =       "9",
  pages =        "86, 88--90, 92",
  month =        sep,
  year =         "2000",
  CODEN =        "DDJOEB",
  ISSN =         "1044-789X",
  bibdate =      "Wed Nov 8 15:09:24 MST 2000",
  bibsource =    "http://www.math.utah.edu/pub/tex/bib/dr-dobbs-2000.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://www.ddj.com/ftp/2000/2000_09/cordic.txt;
                 http://www.ddj.com/ftp/2000/2000_09/cordic.zip",
  abstract =     "CORDIC algorithms are efficient in terms of both
                 computation time and hardware resources -- and in most
                 microcontroller systems, these resources are normally a
                 premium. Additional resources include cordic.txt
                 (listings) and cordic.zip (source code).",
  acknowledgement = ack-nhfb,
  fjournal =     "Dr. Dobb's Journal of Software Tools",
  journal-URL =  "http://www.ddj.com/",
}

@InProceedings{Shiraishi:2000:HAP,
  author =       "S. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{2000 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A highly accurate pipelined architecture for a
                 {CORDIC} {ARMA} lattice filter",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "369--372 (vol. 5)",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/ISCAS.2000.857441",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustic signal processing; Adaptive filters; Data
                 processing; Equations; Feedback loop; Iterative
                 algorithms; Lattices; Signal processing; Signal
                 processing algorithms; Throughput",
}

@InProceedings{Valls:2000:EMC,
  author =       "J. Valls and M. Kuhlmann and K. K. Parhi",
  booktitle =    "{2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS
                 2000. Design and Implementation (Cat. No. 00TH8528)}",
  title =        "Efficient mapping of {CORDIC} algorithms on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "336--345",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/SIPS.2000.886732",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Digital signal processing; Digital signal
                 processing chips; Field programmable gate arrays;
                 Iterative algorithms; Routing; Signal processing
                 algorithms; Vectors; Very large scale integration;
                 Virtual manufacturing",
}

@Article{vanderKolk:2000:FPV,
  author =       "K. J. van der Kolk and J. A. Lee and E. F. A.
                 Deprettere",
  title =        "A Floating Point Vectoring Algorithm Based on Fast
                 Rotations",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "125--139",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/A:1008166822333",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Thu May 09 09:46:25 2002",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "The idea of approximate rotations has been introduced
                 by J. G{\"o}tze and G. Hekstra. G. Hekstra and E.
                 Deprettere extended the concept to orthogonal fast
                 rotations and formalized the concept by providing a
                 fast rotation theory. In this theory, the emphasis has
                 been on fast rotation, whereas fast rotation-based
                 vectorization has only been considered in an
                 approximating sense in examples published by J.
                 G{\"o}tze and G. Hekstra. The formalization of fast
                 rotation-based vectorization is the subject of this
                 paper. The few known approximate fast vectorization
                 algorithms are special cases of the generic fast
                 rotation-based vectorization algorithm proposed in this
                 paper.",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@Article{Volder:2000:BC,
  author =       "Jack E. Volder",
  title =        "The Birth of {CORDIC}",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "101--105",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008110704586",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@Article{Walther:2000:SUC,
  author =       "John Stephen Walther",
  title =        "The Story of Unified {CORDIC}",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "25",
  number =       "2",
  pages =        "107--112",
  month =        jun,
  year =         "2000",
  DOI =          "https://doi.org/10.1023/a:1008162721424",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  MRclass =      "68U99 68-03 68W05 68M07",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "0969.68661",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  remark-1 =     "[From page 108, about the HP-2116 computer] ``A 48-bit
                 binary floating point format, consisting of 40 bits of
                 mantissa and 8 bits of exponent, was chosen. The X, Y,
                 and Z registers were each 48 bits long, which included
                 8 bits as guard bits in each register. The guard bits
                 allow up to a few hundred round-off errors to
                 accumulate without introducing more than a small
                 fraction of a bit of error into the result's 40-bit
                 long mantissa.''",
  remark-2 =     "[From page 109, about the HP-2116 computer]: ``The
                 floating point processor, which was given model number
                 2152A, consisted of ten 8-inch by 8-inch printed
                 circuit boards, each containing about 50 integrated
                 circuit packages, in a box about 12 inches high, 16
                 inches wide, and 24 inches deep. It weighed about 80
                 pounds. \ldots{} The logic drew 30 amps from the power
                 supply.''",
  remark-3 =     "From page 110: ``I applied for and was granted a
                 patent [US Patent 3,766,370: filing date May 14, 1971,
                 issue date Oct 16, 1973] for the 2152A, with HP as the
                 assignee. The patent, which was over 200 pages in
                 length, contained all the information needed for
                 someone skilled in logic design to recreate the
                 hardware, including the microprogram. \ldots{} I was
                 told by one lawyer that, because of the patent, I had
                 become known in patent-law circles as the father of the
                 math co-processor industry that sprang up in Silicon
                 Valley.",
  remark-4 =     "From page 111: ``I spent my Christmas vacation writing
                 the [unified CORDIC] paper \cite{Walther:1971:UAE}. A
                 few months later I learned that I had won the
                 conference's best paper award. \ldots{} The response to
                 the paper was amazing. It was included in a book called
                 `The Best Computer Papers of 1971' by Auerbach
                 Publishers [7], and it was included in the textbook
                 `Computer Arithmetic' by Hwang [8]. I received word
                 that it was being taught in Computer Science classes on
                 computer arithmetic, and for years afterward I received
                 letters about the paper.''",
  ZBmath =       "1630220",
}

@InProceedings{Wu:2000:MVR,
  author =       "Cheng-Shing Wu and An-Yeu Wu",
  booktitle =    "{2000 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Modified vector rotational {CORDIC} ({MVR-CORDIC})
                 algorithm and its application to {FFT}",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "529--532 (vol. 4)",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/ISCAS.2000.858805",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Approximation algorithms;
                 Digital arithmetic; Distortion; Hardware; Iterative
                 algorithms; Iterative methods; Noise level;
                 Quantization; Vectors",
}

@InProceedings{Wu:2000:NRV,
  author =       "Cheng-Shing Wu and An-Yeu Wu",
  booktitle =    "{Proceedings of Second IEEE Asia Pacific Conference on
                 ASICs. AP-ASIC 2000 (Cat. No. 00EX434)}",
  title =        "A novel rotational {VLSI} architecture based on
                 extended elementary angle set {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "111--114",
  year =         "2000",
  DOI =          "https://doi.org/10.1109/APASIC.2000.896921",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Asia; Computer architecture; Difference equations;
                 Hardware; Iterative algorithms; Iterative methods;
                 Quantization; Very large scale integration",
}

@Article{Banerjee:2001:FRC,
  author =       "Ayan Banerjee and Anindya {Sundar Dhar} and Swapna
                 Banerjee",
  title =        "{FPGA} realization of a {CORDIC} based {FFT} processor
                 for biomedical signal processing",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "25",
  number =       "3",
  pages =        "131--142",
  year =         "2001",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/S0141-9331(01)00106-5",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933101001065",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "Biomedical signal processing; CORDIC; FFT; FPGA",
}

@InProceedings{Chakraborty:2001:CRT,
  author =       "M. Chakraborty and A. S. Dhar and S. Pervin",
  booktitle =    "{2001 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings (Cat. No.
                 01CH37221)}",
  title =        "{CORDIC} realization of the transversal adaptive
                 filter using a trigonometric {LMS} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1225--1228 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICASSP.2001.941145",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Array signal processing; Filtering;
                 Finite impulse response filter; Hardware; Hypercubes;
                 Least squares approximation; Mean square error methods;
                 Signal processing algorithms; Transversal filters",
}

@InProceedings{Chakraborty:2001:HLA,
  author =       "M. Chakraborty and S. Pervin and T. S. Lamba",
  booktitle =    "{Proceedings of the 11th IEEE Signal Processing
                 Workshop on Statistical Signal Processing (Cat. No.
                 01TH8563)}",
  title =        "A hyperbolic {LMS} algorithm for {CORDIC} based
                 realization",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "373--376",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/SSP.2001.955300",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Arithmetic; Convergence; Finite
                 impulse response filter; Least squares approximation;
                 Mean square error methods; Numerical stability; Signal
                 processing algorithms; Time varying systems;
                 Transversal filters",
}

@InProceedings{Chuang:2001:DCB,
  author =       "Tso-Pin Chuang and Chao-Chuan Huang and Shen-Fu
                 Hsiao",
  booktitle =    "{Proceedings of the 27th European Solid-State Circuits
                 Conference, 18--20 September 2001, Villach, Austria}",
  title =        "Design of a {CORDIC}-based {SIN\slash COS}
                 intellectual property ({IP}) using predictable sign
                 bits",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "277--280",
  year =         "2001",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/1471387/",
  acknowledgement = ack-nhfb,
  keywords =     "Chaos; Computer architecture; Computer science; Design
                 engineering; Field programmable gate arrays; Hardware;
                 Intellectual property; Silicon compounds; Very large
                 scale integration",
}

@Article{Dietmayer:2001:IOD,
  author =       "Klaus C. J. Dietmayer",
  title =        "Integrated online diagnosis for {AMR}-based angular
                 measurement systems",
  journal =      "Sensors and Actuators A: Physical",
  volume =       "91",
  number =       "1",
  pages =        "12--15",
  year =         "2001",
  DOI =          "https://doi.org/10.1016/S0924-4247(01)00507-6",
  ISSN =         "0924-4247",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Third European Conference on Magnetic Sensors \&
                 Actuators.",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0924424701005076",
  acknowledgement = ack-nhfb,
  keywords =     "Anisotropic magnetoresistive effect; Contact-less
                 angle measurement; Online diagnosis",
}

@Article{Eklund:2001:CEF,
  author =       "Neil Eklund",
  title =        "{CORDIC}: elementary function computation using
                 recursive sequences",
  journal =      j-COLLEGE-MATH-J,
  volume =       "32",
  number =       "5",
  pages =        "330--333",
  month =        nov,
  year =         "2001",
  DOI =          "https://doi.org/10.1080/07468342.2001.11921899;
                 https://doi.org/10.2307/2687303",
  ISSN =         "0746-8342 (print), 1931-1346 (electronic)",
  ISSN-L =       "0746-8342",
  MRclass =      "26A09 33B10 65D20 65Y10",
  MRnumber =     "1868594",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1002.65028",
  acknowledgement = ack-nhfb,
  fjournal =     "The College Mathematics Journal",
  journal-URL =  "https://maa.tandfonline.com/loi/ucmj20;
                 https://www.jstor.org/journal/collmathj",
  ZBmath =       "1750299",
}

@InProceedings{Jung:2001:HSC,
  author =       "Gunok Jung and Seonki Kim and G. E. Sobelman",
  booktitle =    "{2001 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings (Cat. No.
                 01CH37221)}",
  title =        "High-speed {CORDIC} implementations using advanced
                 circuit techniques",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1237--1240 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICASSP.2001.941148",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit simulation; Circuit synthesis; Clocks;
                 Difference equations; Latches; Logic; Power
                 dissipation; Pulse circuits; Standards development;
                 Throughput",
}

@InProceedings{Kabulepa:2001:LBA,
  author =       "L. D. Kabulepa and T. Kella and M. Glesner",
  booktitle =    "{IEEE 54th Vehicular Technology Conference. VTC Fall
                 2001. Proceedings (Cat. No. 01CH37211)}",
  title =        "Lower bound on the accuracy of the {CORDIC}-based
                 frequency offset compensation in burst oriented {OFDM}
                 systems",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "839--842 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/VTC.2001.956890",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AWGN; Baseband; Computational modeling; Frequency
                 estimation; Frequency synchronization; Hardware;
                 Maximum likelihood estimation; OFDM; Phase estimation;
                 Timing",
}

@InProceedings{Kabulepa:2001:NAC,
  author =       "L. D. Kabulepa and T. Kella and T. Pionteck and R.
                 Ludewig and J. Becker and J. Plechinger and M.
                 Glesner",
  booktitle =    "{ICECS 2001. 8th IEEE International Conference on
                 Electronics, Circuits and Systems (Cat. No. 01EX483)}",
  title =        "On the numerical accuracy of {CORDIC}-based frequency
                 offset compensation in burst oriented {OFDM} systems",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1069--1072 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICECS.2001.957678",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Computer architecture; Computer errors;
                 Fading; Frequency estimation; Frequency
                 synchronization; Hardware; Microelectronics; OFDM
                 modulation; Very large scale integration",
}

@InProceedings{Kadam:2001:CID,
  author =       "S. Kadam and M. Soderstrand and L. Johnson",
  booktitle =    "{Conference Record of Thirty-Fifth Asilomar Conference
                 on Signals, Systems and Computers (Cat. No.
                 01CH37256)}",
  title =        "{CORDIC} implementation of digital heterodyne filter
                 in {VLSI}",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "529--532 (vol. 1)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ACSSC.2001.986980",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Demodulation; Digital filters; Equations; H
                 infinity control; Hardware; Iterative algorithms;
                 Iterative methods; Very large scale integration",
}

@Unpublished{Kahan:2001:PDA,
  author =       "William Kahan",
  title =        "Pseudo-Division Algorithms for Floating-Point
                 Logarithms and Exponentials",
  pages =        "8",
  day =          "20",
  month =        may,
  year =         "2001",
  bibdate =      "Sat Aug 23 06:17:04 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/k/kahan-william-m.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://ieeemilestones.ethw.org/w/images/3/30/Wk_pseudo_division_log_exp_may01.pdf",
  abstract =     "Among the CORDIC-like algorithms for computing
                 elementary transcendental functions like log and exp,
                 certain pseudo-division algorithms are peculiarly well
                 suited to implementation in microcode or in conjunction
                 with software-implemented floating-point arithmetic.
                 These algorithms need tables of comparatively modest
                 size; they are almost as fast as the fastest
                 digit-by-digit algorithms known; and they can achieve
                 accuracy to within a unit or two in the last sig. bit
                 carried. Algorithms like these are used by the Intel
                 8087 family of numeric coprocessors. This document is
                 for people who wish to imitate or surpass them.",
  acknowledgement = ack-nhfb,
}

@InProceedings{Kharrat:2001:NMI,
  author =       "M. W. Kharrat and M. Loulou and N. Masmoudi and L.
                 Kamoun",
  booktitle =    "{ICECS 2001. 8th IEEE International Conference on
                 Electronics, Circuits and Systems (Cat. No. 01EX483)}",
  title =        "A new method to implement {CORDIC} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "715--718 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICECS.2001.957575",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Bismuth; Discrete Fourier transforms;
                 Equations; Field programmable gate arrays; Optimization
                 methods; Performance gain; Silicon",
}

@InProceedings{Kwak:2001:TST,
  author =       "Jae-Hyuck Kwak and V. Piuri and E. E. Swartzlander",
  booktitle =    "{2001 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings (Cat. No.
                 01CH37221)}",
  title =        "Time-shared {TMR} for fault-tolerant {CORDIC}
                 processors",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1241--1244 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICASSP.2001.941149",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuit faults; Complexity theory; Error
                 correction; Fault tolerance; Hardware; Pipeline
                 processing; Redundancy; Throughput; Vectors",
}

@InProceedings{Lang:2001:HTR,
  author =       "Tom{\'a}s Lang and E. Antelo",
  editor =       "????",
  booktitle =    "Conference Record of the 35th Asilomar Conference on
                 Signals, Systems and Computers",
  title =        "High-throughput {3D} rotations and normalizations",
  publisher =    "????",
  address =      "????",
  pages =        "846--851",
  year =         "2001",
  bibdate =      "Wed Oct 29 08:04:50 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Paplinski:2001:RUS,
  author =       "A. P. Paplinski and N. Bhattacharjee and C. Greif",
  booktitle =    "{Proceedings Euromicro Symposium on Digital Systems
                 Design}",
  title =        "Rotating ultrasonic signal vectors with a
                 word-parallel {CORDIC} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "254--261",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/DSD.2001.952290",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer science; Frequency; Iterative algorithms;
                 Phased arrays; Sensor arrays; Signal processing; Signal
                 processing algorithms; Testing; Ultrasonic imaging;
                 Ultrasonic transducer arrays",
}

@InProceedings{Park:2001:DPF,
  author =       "Sang Yoon Park and Nam Ik Cho and Sang Uk Lee and
                 Kichul Kim and Jisung Oh",
  booktitle =    "{2001 IEEE Pacific Rim Conference on Communications,
                 Computers and Signal Processing (IEEE Cat. No.
                 01CH37233)}",
  title =        "Design of {2K\slash 4K\slash 8K}-point {FFT} processor
                 based on {CORDIC} algorithm in {OFDM} receiver",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "457--460 (vol. 2)",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/PACRIM.2001.953668",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Demodulation; Digital
                 audio broadcasting; Digital video broadcasting;
                 Discrete Fourier transforms; Discrete transforms; Fast
                 Fourier transforms; OFDM modulation; Read only memory;
                 Signal processing algorithms",
}

@Article{Shiraishi:2001:INA,
  author =       "Shin'ichi Shiraishi and Miki Haseyama and Hideo
                 Kitajima",
  title =        "An implementation of a normalized {ARMA} lattice
                 filter with a {CORDIC} algorithm",
  journal =      "Electronics and Communications in Japan (Part III:
                 Fundamental Electronic Science)",
  volume =       "84",
  number =       "4",
  pages =        "76--86",
  year =         "2001",
  DOI =          "https://doi.org/10.1002/1520-6440(200104)84:4<76::AID-ECJC9>3.0.CO;2-G",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1002/1520-6440%28200104%2984%3A4%3C76%3A%3AAID-ECJC9%3E3.0.CO%3B2-G",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1002/1520-6440%28200104%2984%3A4%3C76%3A%3AAID-ECJC9%3E3.0.CO%3B2-G",
  keywords =     "CORDIC, ARMA lattice filter, VLSI",
}

@InBook{Vankka:2001:CA,
  author =       "Jouko Vankka and Kari Halonen",
  booktitle =    "Direct Digital Synthesizers",
  title =        "{CORDIC} Algorithm",
  publisher =    "Springer US",
  pages =        "23--32",
  year =         "2001",
  DOI =          "https://doi.org/10.1007/978-1-4757-3395-2_4",
  ISBN =         "1-4757-3395-X",
  ISBN-13 =      "978-1-4757-3395-2",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Wu:2001:MVR,
  author =       "Cheng-Shing Wu and An-Yeu Wu",
  title =        "Modified vector rotational {CORDIC} ({MVR-CORDIC})
                 algorithm and architecture",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-2,
  volume =       "48",
  number =       "6",
  pages =        "548--561",
  year =         "2001",
  CODEN =        "ICSPE5",
  DOI =          "https://doi.org/10.1109/82.943326",
  ISSN =         "1057-7130 (print), 1558-125X (electronic)",
  ISSN-L =       "1057-7130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. 2, Analog
                 and Digital Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=82",
  keywords =     "Computer architecture; Digital arithmetic; Digital
                 filters; Digital signal processing; Hardware; Iterative
                 algorithms; Lattices; Quantization; Vectors; Very large
                 scale integration",
}

@InProceedings{Wu:2001:NTB,
  author =       "Cheng-Shing Wu and An-Yeu Wu",
  booktitle =    "{2001 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings (Cat. No.
                 01CH37221)}",
  title =        "A novel trellis-based searching scheme for
                 {EEAS}-based {CORDIC} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1229--1232",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICASSP.2001.941146",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Computer errors; Computer
                 simulation; Constraint optimization; Digital
                 arithmetic; Greedy algorithms; Hardware; Iterative
                 algorithms; Iterative methods; Viterbi algorithm",
}

@InProceedings{Wu:2001:UDF,
  author =       "An-Yeu Wu and Cheng-Shing Wu",
  booktitle =    "{2001 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing. Proceedings (Cat. No.
                 01CH37221)}",
  title =        "A unified design framework for vector rotational
                 {CORDIC} family based on angle quantization process",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1233--1236",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ICASSP.2001.941147",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Bismuth; Circuits;
                 Degradation; Digital filters; Digital signal
                 processing; Discrete cosine transforms; Quantization;
                 Signal processing algorithms; Very large scale
                 integration",
}

@InProceedings{Yang:2001:LCB,
  author =       "Yi Yang and Chunyan Wang and M. Omair Ahmad and M. N.
                 S. Swamy",
  booktitle =    "{Proceedings of the Sixth International Symposium on
                 Signal Processing and its Applications (Cat. No.
                 01EX467)}",
  title =        "An on-line {CORDIC} based 2-D {IDCT} implementation
                 using distributed arithmetic",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "296--299",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/ISSPA.2001.949836",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; CMOS technology; Computational modeling;
                 Computer architecture; Discrete cosine transforms;
                 Hardware; Read only memory; Throughput; Two dimensional
                 displays; Very large scale integration",
}

@InProceedings{Yeary:2001:DCP,
  author =       "M. B. Yeary",
  booktitle =    "{IMTC 2001. Proceedings of the 18th IEEE
                 Instrumentation and Measurement Technology Conference.
                 Rediscovering Measurement in the Age of Informatics
                 (Cat. No. 01CH 37188)}",
  title =        "Design of a {CORDIC} processor for mixed-signal {A/D}
                 conversion",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "733--737",
  year =         "2001",
  DOI =          "https://doi.org/10.1109/IMTC.2001.928176",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit testing; Clocks; Computer simulation;
                 Frequency synchronization; Frequency synthesizers;
                 Phase noise; Read only memory; Signal generators;
                 Signal resolution; Timing",
}

@InProceedings{Euh:2002:CVI,
  author =       "Jeongseon Euh and J. Chittamuru and W. Burleson",
  booktitle =    "{IEEE Workshop on Signal Processing Systems}",
  title =        "{CORDIC} vector interpolator for power-aware {3D}
                 computer graphics",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "240--245",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/SIPS.2002.1049716",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Computer graphics; Degradation;
                 Energy consumption; Hardware; Humans; Image quality;
                 Interpolation; Signal processing algorithms; Visual
                 perception",
}

@Article{Gisuthan:2002:PFC,
  author =       "Bimal Gisuthan and Thambipillai Srikanthan",
  title =        "Pipelining flat {CORDIC} based trigonometric function
                 generators",
  journal =      j-MICROELECT-J,
  volume =       "33",
  number =       "1",
  pages =        "77--89",
  year =         "2002",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/S0026-2692(01)00107-0",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026269201001070",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "CORDIC; Flat CORDIC; Hyperbolic functions; Pipelining;
                 Trigonometric functions",
}

@InProceedings{Grass:2002:DMS,
  author =       "E. Grass and B. Sarker and K. Maharatna",
  booktitle =    "{Proceedings Eighth International Symposium on
                 Asynchronous Circuits and Systems}",
  title =        "A dual-mode synchronous\slash asynchronous {CORDIC}
                 processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "76--83",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ASYNC.2002.1000298",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Baseband; Broadband
                 communication; Communication standards; Crosstalk;
                 Hardware; Modems; OFDM; Power dissipation; Software
                 radio",
}

@InProceedings{Kang:2002:ACA,
  author =       "Chang Yong Kang and E. E. Swartzlander",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application- Specific Systems, Architectures, and
                 Processors}",
  title =        "An analysis of the {CORDIC} algorithm for direct
                 digital frequency synthesis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "111--119",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ASAP.2002.1030709",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Analog-digital
                 conversion; Artificial intelligence; Digital filters;
                 Digital-to-frequency converters; Frequency conversion;
                 Frequency synthesizers; Low pass filters",
}

@InProceedings{Kim:2002:DJE,
  author =       "Minseok Kim and K. Ichige and H. Arai",
  booktitle =    "{The 13th IEEE International Symposium on Personal,
                 Indoor and Mobile Radio Communications}",
  title =        "Design of {Jacobi} {EVD} processor based on {CORDIC}
                 for {DOA} estimation with {MUSIC} algorithm",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "120--124",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/PIMRC.2002.1046673",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive arrays; Adaptive signal processing; Algorithm
                 design and analysis; Direction of arrival estimation;
                 Directive antennas; Eigenvalues and eigenfunctions;
                 Jacobian matrices; Multiple signal classification;
                 Signal processing algorithms; Symmetric matrices",
}

@Article{Kuhlmann:2002:PCP,
  author =       "Martin Kuhlmann and Keshab K. Parhi",
  title =        "{P-CORDIC}: a Precomputation Based Rotation {CORDIC}
                 Algorithm",
  journal =      j-EURASIP-J-ADV-SIGNAL-PROCESS,
  volume =       "2002",
  number =       "9",
  pages =        "936--943",
  month =        sep,
  year =         "2002",
  DOI =          "https://doi.org/10.1155/s1110865702205028",
  ISSN =         "1687-6172 (print), 1687-6180 (electronic)",
  ISSN-L =       "1687-6172",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1060.65696",
  acknowledgement = ack-nhfb,
  fjournal =     "EURASIP Journal on Advances in Signal Processing",
  journal-URL =  "http://asp.eurasipjournals.springeropen.com/",
  keywords =     "65T50",
  ZBmath =       "2163739",
}

@InProceedings{Lund:2002:MUC,
  author =       "T. Lund and M. Aguirre and A. Torralba",
  booktitle =    "{IEEE 2002 28th Annual Conference of the Industrial
                 Electronics Society. IECON 02}",
  title =        "Making use of {CORDICs} and distributed arithmetic to
                 produce a field-programmable fuzzy logic controller in
                 an {FPGA}",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3205--3208",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/IECON.2002.1182911",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuits; Digital signal processing;
                 Distributed computing; Field programmable gate arrays;
                 Fuzzy control; Fuzzy logic; Hardware; Iterative
                 algorithms; Signal processing algorithms",
}

@InProceedings{Mondwurf:2002:BCA,
  author =       "S. W. Mondwurf",
  booktitle =    "{Proceedings of the Fourth IEEE International Caracas
                 Conference on Devices, Circuits and Systems (Cat. No.
                 02TH8611)}",
  title =        "Benefits of the {CORDIC}-algorithm in a versatile
                 {COFDM} modulator\slash demodulator design",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "T026--T026",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ICCDCS.2002.1004111",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Communication standards; Data communication;
                 Demodulation; Digital modulation; Digital multimedia
                 broadcasting; DSL; Modulation coding; Multiplexing;
                 Oscillators; Programmable logic arrays",
}

@Article{Mondwurf:2002:VCD,
  author =       "S. W. Mondwurf",
  title =        "Versatile {COFDM} demodulator design based on the
                 {CORDIC-algorithm}",
  journal =      j-IEEE-TRANS-CONSUMER-ELECTRONICS,
  volume =       "48",
  number =       "3",
  pages =        "718--723",
  year =         "2002",
  CODEN =        "ITCEDA",
  DOI =          "https://doi.org/10.1109/TCE.2002.1037066",
  ISSN =         "0098-3063 (print), 1558-4127 (electronic)",
  ISSN-L =       "0098-3063",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Consumer Electronics",
  keywords =     "Communication standards; Data communication;
                 Demodulation; Digital modulation; Digital multimedia
                 broadcasting; DSL; Multiplexing; Oscillators;
                 Programmable logic arrays; Table lookup",
}

@InProceedings{Mondwurf:2002:VCM,
  author =       "S. W. Mondwurf",
  booktitle =    "{2002 Digest of Technical Papers. International
                 Conference on Consumer Electronics (IEEE Cat. No.
                 02CH37300)}",
  title =        "Versatile {COFDM} modulator\slash demodulator design
                 based on the {CORDIC}-algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "362--363",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ICCE.2002.1014066",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Communication standards; Data communication;
                 Demodulation; Digital multimedia broadcasting; Digital
                 video broadcasting; DSL; Information technology;
                 Multiplexing; Programmable logic devices",
}

@InProceedings{Pineiro:2002:HRL,
  author =       "J.-A. Pineiro and Milo{\v{s}} D. Ercegovac and J. D.
                 Bruguera",
  booktitle =    "{The IEEE International Conference on
                 Application-Specific Systems, Architectures and
                 Processors, 2002. Proceedings. 17--19 July 2002, San
                 Jose, CA, USA }",
  title =        "High-radix logarithm with selection by rounding",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "101--110",
  year =         "2002",
  CODEN =        "????",
  DOI =          "https://doi.org/10.1109/ASAP.2002.1030708",
  ISBN =         "0-7695-1712-9",
  ISBN-13 =      "978-0-7695-1712-4",
  ISSN =         "2160-0511",
  bibdate =      "Sat Jul 16 11:25:05 MDT 2005",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "https://ieeexplore.ieee.org/document/1030708/",
  acknowledgement = ack-nhfb,
  summary =      "A high-radix digit-recurrence algorithm or the
                 computation of the logarithm is presented in this
                 paper. Selection by rounding is used in iterations
                 j/spl ges/2, and selection by table in the first
                 iteration is combined with a restricted digit-set
                 \ldots{}",
}

@InProceedings{Ravichandran:2002:IUC,
  author =       "S. Ravichandran and V. Asari",
  booktitle =    "{The 2002 45th Midwest Symposium on Circuits and
                 Systems, 2002. MWSCAS-2002.}",
  title =        "Implementation of unidirectional {CORDIC} algorithm
                 using precomputed rotation bits",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "III--III",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/MWSCAS.2002.1187071",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Clocks; Computer architecture; Digital signal
                 processing; Equations; Hardware; Iterative algorithms;
                 Power engineering computing; Signal processing
                 algorithms",
}

@InProceedings{Shiraishi:2002:CEH,
  author =       "S. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{2002 IEEE International Symposium on Circuits and
                 Systems. Proceedings (Cat. No. 02CH37353)}",
  title =        "A cost-effective and high-precision architecture for
                 {CORDIC}-based adaptive lattice filters",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "V--V",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ISCAS.2002.1010699",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Application specific integrated
                 circuits; Digital filters; Hardware; Iterative
                 algorithms; Lattices; Signal processing algorithms;
                 Transversal filters; Very large scale integration;
                 Zinc",
}

@Article{Srikanthan:2002:NTE,
  author =       "T. Srikanthan and B. Gisuthan",
  title =        "A novel technique for eliminating iterative based
                 computation of polarity of micro-rotations in {CORDIC}
                 based sine--cosine generators",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "26",
  number =       "5",
  pages =        "243--252",
  year =         "2002",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/S0141-9331(02)00026-1",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933102000261",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "Co-ordinate rotation digital computer; Signed-digit
                 pre-computation; Sine--cosine generator; Split
                 Decomposition Algorithm",
}

@InProceedings{Vadlamani:2002:CCA,
  author =       "S. Vadlamani and W. Mahmoud",
  booktitle =    "{Proceedings of the Thirty-Fourth Southeastern
                 Symposium on System Theory (Cat. No. 02EX540)}",
  title =        "Comparison of {CORDIC} algorithm implementations on
                 {FPGA} families",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "192--196",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/SSST.2002.1027033",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Costs; Digital signal processing; Equations; Field
                 programmable gate arrays; Hardware; Iterative
                 algorithms; Microprocessors; Pipeline processing;
                 Signal processing algorithms; Throughput",
}

@Article{Valls:2002:ECA,
  author =       "Javier Valls and Martin Kuhlmann and Keshab K. Parhi",
  title =        "Evaluation of {CORDIC} Algorithms for {FPGA} Design",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "32",
  number =       "3",
  pages =        "207--222",
  month =        nov,
  year =         "2002",
  DOI =          "https://doi.org/10.1023/a:1020205217934",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1014.68001",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68M07",
  ZBmath =       "1845467",
}

@Article{Wu:2002:UVV,
  author =       "An-Yeu Wu and Cheng-Shing Wu",
  title =        "A unified view for vector rotational {CORDIC}
                 algorithms and architectures based on angle
                 quantization approach",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-I-FUNDAM-THEORY-APPL,
  volume =       "49",
  number =       "10",
  pages =        "1442--1456",
  year =         "2002",
  CODEN =        "ITCAEX",
  DOI =          "https://doi.org/10.1109/TCSI.2002.803363",
  ISSN =         "1057-7122 (print), 1558-1268 (electronic)",
  ISSN-L =       "1057-7122",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I:
                 Fundamental Theory and Applications",
  keywords =     "Adders; Algorithm design and analysis; Arithmetic;
                 Circuits; Computer architecture; Degradation; Digital
                 signal processing; Quantization; Signal processing
                 algorithms; Very large scale integration",
}

@MastersThesis{Yang:2002:DAB,
  author =       "Yi Yang",
  title =        "A distributed arithmetic-based {CORDIC} algorithm and
                 its use in the {FPGA} implementation of the {2-D
                 IDCT}",
  type =         "{M.A.Sc.} thesis",
  school =       "Concordia University",
  address =      "Montreal, QC, Canada",
  pages =        "90",
  year =         "2002",
  ISBN =         "0-612-68448-2",
  ISBN-13 =      "978-0-612-68448-5",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/distributed-arithmetic-based-cordic-algorithm-use/docview/305477205/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "M. O. Ahmad and C. Wang",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering",
  ris-m1 =       "MQ68448",
}

@InProceedings{Yang:2002:FIL,
  author =       "Yi Yang and Chunyan Wang and M. Omai Ahmad and M. N.
                 S. Swamy",
  booktitle =    "{2002 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "An {FPGA} implementation of an on-line radix-4 {CORDIC
                 2-D} {IDCT} core",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "IV--IV",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ISCAS.2002.1010569",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Decoding; Discrete
                 cosine transforms; Field programmable gate arrays; Read
                 only memory; Transform coding; Very large scale
                 integration; Video codecs; Video compression",
}

@Article{Yeary:2002:DCP,
  author =       "M. B. Yeary and R. J. Fink and H. Sundaresan and D. W.
                 Guidry",
  title =        "Design of a {CORDIC} processor for mixed-signal {A/D}
                 conversion",
  journal =      j-IEEE-TRANS-INSTRUM-MEAS,
  volume =       "51",
  number =       "4",
  pages =        "804--809",
  year =         "2002",
  CODEN =        "IEIMAO",
  DOI =          "https://doi.org/10.1109/TIM.2002.803399",
  ISSN =         "0018-9456 (print), 1557-9662 (electronic)",
  ISSN-L =       "0018-9456",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Instrumentation and Measurement",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=19",
  keywords =     "Analog-digital conversion; Clocks; Computer
                 simulation; Frequency synchronization; Frequency
                 synthesizers; Laboratories; Read only memory; Signal
                 generators; Testing; Timing",
}

@Article{Yu:2002:SDA,
  author =       "Sungwook Yu and E. E. Swartzlander",
  title =        "A scaled {DCT} architecture with the {CORDIC}
                 algorithm",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "50",
  number =       "1",
  pages =        "160--167",
  year =         "2002",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/78.972492",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Computer architecture; Discrete cosine transforms;
                 Discrete Fourier transforms; Discrete transforms;
                 Hardware; Image coding; Karhunen-Loeve transforms;
                 Speech coding; Two dimensional displays",
}

@InProceedings{Zhana:2002:IFO,
  author =       "H. Zhana and Z. Wang and S. S. Chandra",
  booktitle =    "{The 8th International Conference on Communication
                 Systems, 2002. ICCS 2002.}",
  title =        "Implementation of frequency offset correction using
                 {CORDIC} algorithm for 5 {GHz} {WLAN} applications",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "983--987 (vol. 2)",
  year =         "2002",
  DOI =          "https://doi.org/10.1109/ICCS.2002.1183280",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit testing; Delay; Frequency estimation;
                 Frequency synchronization; Hardware; OFDM; Streaming
                 media; Timing; Wide area networks; Wireless LAN",
}

@InProceedings{Arrigo:2003:AMC,
  author =       "J. F. Arrigo and P. M. Chau",
  booktitle =    "{The Thirty-Seventh Asilomar Conference on Signals,
                 Systems \& Computers, 2003}",
  title =        "Accurate motion capture at high rotational rates using
                 the {CORDIC} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2203--2207",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ACSSC.2003.1292371",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation methods; Attitude control; Computer
                 displays; Equations; Kinematics; Micromechanical
                 devices; Navigation; Position measurement; Quaternions;
                 Remotely operated vehicles",
}

@InProceedings{Cadenas:2003:PPG,
  author =       "O. Cadenas and G. Megson",
  booktitle =    "{2003 5th International Conference on ASIC
                 Proceedings}",
  title =        "Power performance with gated clocks of a pipelined
                 {CORDIC} core",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1226--1230",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICASIC.2003.1277435",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Chen:2003:ACA,
  author =       "Chuen-Yau Chen and Wen-Chih Liu",
  booktitle =    "{2003 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Architecture for {CORDIC} algorithm realization
                 without {ROM} lookup tables",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "IV--IV",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ISCAS.2003.1206137",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Communication system control; Computer architecture;
                 Control systems; Hardware; Power engineering and
                 energy; Read only memory; Table lookup",
}

@Article{Chih:2003:FCA,
  author =       "Jen-Chuan Chih and Sau-Gee Chen",
  title =        "Fast {CORDIC} Algorithm Based on a New Recoding Scheme
                 for Rotation Angles and Variable Scale Factors",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "33",
  number =       "1--2",
  pages =        "19--29",
  month =        jan,
  year =         "2003",
  DOI =          "https://doi.org/10.1023/a:1021185600444",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1062.68503",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image, and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
  keywords =     "68M07",
  ZBmath =       "1970975",
}

@Article{Jianchun:2003:SHS,
  author =       "Chen Jianchun and Yang Wanhai and Xu Shaoying",
  title =        "Study on high-speed magnitude approximation for
                 complex vectors",
  journal =      "Journal of Systems Engineering and Electronics",
  volume =       "14",
  number =       "1",
  pages =        "81--85",
  year =         "2003",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; CORDIC; Fitting; Least mean
                 square error criterion; Least squares approximation;
                 Linear approximation; Mean square error methods;
                 Modulus of complex number; Registers",
}

@PhdThesis{Kang:2003:CBH,
  author =       "Chang Yong Kang",
  title =        "{CORDIC}-based high-speed direct digital frequency
                 synthesis",
  type =         "{Ph.D.} thesis",
  school =       "The University of Texas at Austin",
  address =      "Austin, TX, USA",
  pages =        "108",
  year =         "2003",
  ISBN =         "0-496-63532-8",
  ISBN-13 =      "978-0-496-63532-0",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-based-high-speed-direct-digital-frequency/docview/305301506/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Swartzlander, Earl E., Jr.",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Coordinate rotation digital computer; Direct digital
                 synthesis; Electrical engineering; High-speed",
  ris-m1 =       "3116096",
}

@InProceedings{Kebbati:2003:AEA,
  author =       "H. S. Kebbati and J. P. Blonde and F. Braun",
  booktitle =    "{10th IEEE International Conference on Electronics,
                 Circuits and Systems, 2003. ICECS 2003. Proceedings of
                 the 2003}",
  title =        "Area efficient and accurate {CORDIC} processor for
                 motor control drive",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "212--215",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICECS.2003.1302014",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; Computer errors;
                 Delay; Hardware; Iterative algorithms; Motor drives;
                 Pulse width modulation; Signal processing algorithms;
                 Space vector pulse width modulation",
}

@InProceedings{Khayatzadeh:2003:SCD,
  author =       "A. Khayatzadeh and H. S. Shahhoseini and M. Naderi",
  booktitle =    "{Seventh International Symposium on Signal Processing
                 and Its Applications, 2003. Proceedings.}",
  title =        "Systolic {CORDIC} {DCT}: an effective method for
                 computing {2d-DCT}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "193--196",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ISSPA.2003.1224848",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Concurrent computing; Discrete cosine
                 transforms; Equations; Hardware; Image coding; Parallel
                 processing; Speech processing; Systolic arrays;
                 Transform coding",
}

@InProceedings{Lin:2003:MSR,
  author =       "Zhi-Xiu Lin and An-Yeu Wu",
  booktitle =    "{2003 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing, 2003. Proceedings.
                 (ICASSP '03).}",
  title =        "Mixed-scaling-rotation {CORDIC} ({MSR-CORDIC})
                 algorithm and architecture for scaling-free
                 high-performance rotational operations",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-653",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICASSP.2003.1202451",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational modeling; Computer architecture; Digital
                 arithmetic; Eigenvalues and eigenfunctions; Filtering
                 algorithms; Hardware; Iterative algorithms;
                 Quantization; Singular value decomposition; System
                 performance",
}

@InProceedings{Liu:2003:CBA,
  author =       "Z. Liu and K. Dickson and J. V. McCanny",
  booktitle =    "{The Thirty-Seventh Asilomar Conference on Signals,
                 Systems \& Computers, 2003}",
  title =        "{CORDIC} based application specific instruction set
                 processor for {QRD\slash SVD}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1456--1460 (vol. 2)",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ACSSC.2003.1292227",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Application
                 specific processors; Arithmetic; Computer architecture;
                 Hardware; Information technology; Jacobian matrices;
                 Matrix decomposition; Process design; Silicon",
}

@InProceedings{Liu:2003:FPC,
  author =       "Z. Liu and K. Dickson and J. V. McCanny",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application-Specific Systems, Architectures, and
                 Processors. ASAP 2003}",
  title =        "A floating-point {CORDIC} based {SVD} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "194--203",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ASAP.2003.1212843",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Concurrent computing; Digital
                 signal processing; Floating-point arithmetic; Hardware;
                 Jacobian matrices; Laboratories; Pipeline processing;
                 Silicon; Singular value decomposition",
}

@InBook{Mingqian:2003:CBQ,
  author =       "Tim Zhong Mingqian and A. S. Madhukumar and
                 Fran{\c{c}}ois Chin",
  booktitle =    "Mobile and Wireless Communications",
  title =        "{CORDIC} based {QRD-RLS} Adaptive Equalizer for {CDMA}
                 Systems",
  publisher =    "Springer US",
  pages =        "249--256",
  year =         "2003",
  DOI =          "https://doi.org/10.1007/978-0-387-35618-1_30",
  ISBN =         "0-387-35618-5",
  ISBN-13 =      "978-0-387-35618-1",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Park:2003:FPEa,
  author =       "Sang Yoon Park and Nam Ik Cho",
  booktitle =    "{2003 IEEE International Conference on Acoustics,
                 Speech, and Signal Processing, 2003. Proceedings.
                 (ICASSP '03).}",
  title =        "Fixed point error analysis of {CORDIC} processor based
                 on the variance propagation",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-565--II-568",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICASSP.2003.1202429",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Analytical models; Application software; Computational
                 modeling; Digital filters; Digital signal processing;
                 Error analysis; OFDM; Signal design; Signal processing;
                 Signal processing algorithms; Upper bound",
}

@InProceedings{Park:2003:FPEb,
  author =       "Sang Yoon Park and Nam Ik Cho",
  booktitle =    "{2003 International Conference on Multimedia and Expo.
                 ICME '03. Proceedings (Cat. No. 03TH8698)}",
  title =        "Fixed point error analysis of {CORDIC} processor based
                 on the variance propagation",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-833",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICME.2003.1221746",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Analytical models; Application software; Computational
                 modeling; Digital filters; Digital signal processing;
                 Error analysis; OFDM; Signal design; Signal processing;
                 Signal processing algorithms",
}

@InProceedings{Pineiro:2003:HRI,
  author =       "J.-A. Pi{\~n}eiro and Milo{\v{s}} D. Ercegovac and J.
                 D. Bruguera",
  title =        "High-radix iterative algorithm for powering
                 computation",
  crossref =     "Bajard:2003:ISC",
  pages =        "204--211",
  year =         "2003",
  bibdate =      "Wed Nov 26 12:04:35 MST 2003",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://www.acsel-lab.com/arithmetic/arith16/papers/ARITH16_Pineiro.pdf",
  abstract =     "A high-radix composite algorithm for the computation
                 of the powering function ($ X^Y $) is presented in this
                 paper. The algorithm consists of a sequence of
                 overlapped operations: (i) digit-recurrence logarithm,
                 (ii) left-to-right carry-free (LRCF) multiplications,
                 and (iii) on-line exponential. A redundant number
                 system is used, and the selection in (i) and (iii) is
                 done by rounding except from the first iteration, when
                 selection by table look-up is necessary to guarantee
                 the convergence of the recurrences. A sequential
                 implementation of the algorithm is proposed, and the
                 execution times and hardware requirements are estimated
                 for single and double-precision floating-point
                 computations, for radix $ r = 128 $ showing that
                 powering can be computed with similar performance as
                 high-radix CORDIC algorithms.",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-16",
}

@InProceedings{Ravichandran:2003:PCR,
  author =       "S. Ravichandran and V. Asari",
  booktitle =    "{IEEE Computer Society Annual Symposium on VLSI, 2003.
                 Proceedings.}",
  title =        "Pre-computation of rotation bits in unidirectional
                 {CORDIC} for trigonometric and hyperbolic
                 computations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "215--216",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ISVLSI.2003.1183472",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Clocks; Computer Society; Equations; Field
                 programmable gate arrays; Hardware; Iterative
                 algorithms; Logic; Registers; Very large scale
                 integration",
}

@InProceedings{Shiraishi:2003:CAC,
  author =       "S. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{Proceedings of the 2003 International Symposium on
                 Circuits and Systems, 2003. ISCAS '03.}",
  title =        "Convergence analysis of a {CORDIC}-based gradient
                 adaptive lattice filter",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "IV--IV",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ISCAS.2003.1205891",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Computer architecture; Convergence;
                 Equations; Estimation error; Hardware; Iterative
                 algorithms; Lattices; Steady-state; Transversal
                 filters",
}

@InProceedings{Sidahao:2003:AFE,
  author =       "N. Sidahao and G. A. Constantinides and P. Y. K.
                 Chelrng",
  editor =       "????",
  booktitle =    "International Symposium on Circuits \& Systems.
                 Bangkok",
  title =        "Architectures for Function Evaluation on {FPGAs}",
  publisher =    "????",
  address =      "????",
  pages =        "804--807",
  year =         "2003",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 06:06:08 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Singh:2003:CBC,
  author =       "Abhishek Singh and D. S. Phatak and T. Goff and M.
                 Riggs and J. Plusquellic and Chintan Patel",
  booktitle =    "{Proceedings IEEE International Conference on
                 Application-Specific Systems, Architectures, and
                 Processors. ASAP 2003}",
  title =        "Comparison of branching {CORDIC} implementations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "215--225",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ASAP.2003.1212845",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer science; Delay; Equations; Minimization
                 methods",
}

@Article{Wu:2003:HPL,
  author =       "Cheng-Shing Wu and An-Yeu Wu and Chih-Hsiu Lin",
  title =        "A high-performance\slash low-latency vector rotational
                 {CORDIC} architecture based on extended elementary
                 angle set and trellis-based searching schemes",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-2,
  volume =       "50",
  number =       "9",
  pages =        "589--601",
  year =         "2003",
  CODEN =        "ICSPE5",
  DOI =          "https://doi.org/10.1109/TCSII.2003.816923",
  ISSN =         "1057-7130 (print), 1558-125X (electronic)",
  ISSN-L =       "1057-7130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. 2, Analog
                 and Digital Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=82",
  keywords =     "Computer architecture; Digital arithmetic; Digital
                 filters; Digital signal processing; Hardware; Iterative
                 algorithms; Iterative methods; Lattices; Quantization;
                 Signal processing algorithms",
}

@InProceedings{Yang:2003:DDF,
  author =       "Wang Yang Wang Yang and Zhu ke jia Zhu ke jia and Min
                 Hao Min Hao",
  booktitle =    "{2003 5th International Conference on ASIC
                 Proceedings}",
  title =        "A direct digital frequency synthesizer based on
                 {CORDIC} algorithm implemented with {FPGA}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "832--835 (vol. 2)",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICASIC.2003.1277339",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Field programmable gate arrays; Frequency
                 synthesizers; Mirrors; Read only memory; Tail",
}

@InProceedings{ZuoRen:2003:DMC,
  author =       "Cheng ZuoRen Cheng ZuoRen and Gao Minglun Gao Minglun
                 and Li Li Li Li and Zhang Duoli Zhang Duoli and Song
                 Yukun Song Yukun",
  booktitle =    "{2003 5th International Conference on ASIC
                 Proceedings}",
  title =        "A design of modified {CORDIC}-based cosine and sine
                 generator",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "765--768 (vol. 2)",
  year =         "2003",
  DOI =          "https://doi.org/10.1109/ICASIC.2003.1277323",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@PhdThesis{Arbaugh:2004:TLC,
  author =       "Jason Todd Arbaugh",
  title =        "Table Look-up {CORDIC}: Effective rotations through
                 angle partitioning",
  type =         "{Ph.D.} thesis",
  school =       "The University of Texas at Austin",
  address =      "Austin, TX, USA",
  pages =        "231",
  year =         "2004",
  ISBN =         "0-496-10260-5",
  ISBN-13 =      "978-0-496-10260-0",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/table-look-up-cordic-effective-rotations-through/docview/305131572/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Swartzlander, Earl E., Jr.",
  keywords =     "0544:Electrical engineering; Angle partitioning;
                 Applied sciences; CORDIC; Electrical engineering;
                 Rotations; Table Look-up CORDIC",
  ris-m1 =       "3150534",
}

@InProceedings{Boudabous:2004:IHF,
  author =       "A. Boudabous and F. Ghozzi and M. W. Kharrat and N.
                 Masmoudi",
  booktitle =    "{Proceedings. The 16th International Conference on
                 Microelectronics, 2004. ICM 2004.}",
  title =        "Implementation of hyperbolic functions using {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "738--741",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ICM.2004.1434772",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Calculators; Calculus;
                 Computational modeling; Convergence; Design
                 engineering; Equations; Field programmable gate arrays;
                 Hardware; Information technology",
}

@InCollection{Edwards:2004:CCH,
  author =       "Bruce H. Edwards and Jennifer Lieberman",
  booktitle =    "{HERCMA 2003. Proceedings of the 6th
                 Hellenic--European conference on computer mathematics
                 and its applications, Athens, Greece, September 25--27,
                 2003}",
  title =        "The convergence of the {CORDIC} hyperbolic algorithm",
  publisher =    "Athens: LEA",
  pages =        "538--542",
  year =         "2004",
  ISBN =         "960-87275-1-0; 960-87275-2-9; 960-87275-3-7",
  ISBN-13 =      "978-960-87275-1-9; 978-960-87275-2-6;
                 978-960-87275-3-3",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1060.65031",
  acknowledgement = ack-nhfb,
  keywords =     "65D20,26A09,33B10,33F05",
  ZBmath =       "2130408",
}

@InCollection{Ercegovac:2004:CAI,
  author =       "Milo{\v{s}} D. Ercegovac and Tom{\'a}s Lang",
  booktitle =    "Digital Arithmetic",
  title =        "{CORDIC} Algorithm and Implementations",
  chapter =      "11",
  publisher =    "Morgan Kaufmann",
  address =      "San Francisco",
  pages =        "608--648",
  year =         "2004",
  DOI =          "https://doi.org/10.1016/B978-155860798-9/50013-0",
  ISSN =         "15459888",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  series =       "The Morgan Kaufmann Series in Computer Architecture
                 and Design",
  URL =          "https://www.sciencedirect.com/science/article/pii/B9781558607989500130",
  acknowledgement = ack-nhfb,
}

@Book{Ercegovac:2004:DA,
  author =       "Milo{\v{s}} Dragutin Ercegovac and Tom{\'a}s Lang",
  title =        "Digital Arithmetic",
  publisher =    pub-MORGAN-KAUFMANN,
  address =      pub-MORGAN-KAUFMANN:adr,
  pages =        "xxv + 709",
  year =         "2004",
  ISBN =         "1-55860-798-6",
  ISBN-13 =      "978-1-55860-798-9",
  LCCN =         "QA76.9.C62 E72 2004",
  bibdate =      "Thu Jun 20 10:19:42 2002",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 z3950.loc.gov:7090/Voyager",
  URL =          "ftp://uiarchive.cso.uiuc.edu/pub/etext/gutenberg/;
                 http://www.loc.gov/catdir/description/els031/2002114337.html;
                 http://www.loc.gov/catdir/toc/els031/2002114337.html",
  acknowledgement = ack-nhfb,
  subject =      "Computer arithmetic",
  tableofcontents = "Counter Preface \\
                 1. Review of Basic Number Representations and
                 Arithmetic Algorithms \\
                 2. Two-Operand Addition \\
                 3. Multi-Operand Addition \\
                 4. Multiplication \\
                 5. Division by Digit Recurrence \\
                 6. Square Root by Digit Recurrence \\
                 7. Reciprocal, Division, Reciprocal Square Root and
                 Square Root by Iterative Approximation \\
                 8. Floating-Point Representation, Algorithms, and
                 Implementations \\
                 9. Digit-Serial Arithmetic \\
                 10. Function Evaluation \\
                 11. CORDIC Algorithm and Implementations",
}

@InProceedings{Heyne:2004:PCB,
  author =       "Benjamin Heyne and J{\"u}rgen G{\"o}tze",
  booktitle =    "{2004 12th European Signal Processing Conference,
                 06--10 September 2004, Vienna, Austria}",
  title =        "A pure {CORDIC} based {FFT} for reconfigurable digital
                 signal processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1513--1516",
  year =         "2004",
  ISBN =         "3-200-00165-8",
  ISBN-13 =      "978-3-200-00165-7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7079730/",
  acknowledgement = ack-nhfb,
  keywords =     "3G mobile communication; Abstracts; Integrated
                 circuits",
}

@Article{Hormigo:2004:CPV,
  author =       "Javier Hormigo and Julio Villalba and Emilio L.
                 Zapata",
  title =        "{CORDIC} Processor for Variable-Precision Interval
                 Arithmetic",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "37",
  number =       "1",
  pages =        "21--39",
  month =        may,
  year =         "2004",
  DOI =          "https://doi.org/10.1023/b:vlsi.0000017001.88149.f4",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@Article{Hsiao:2004:MEH,
  author =       "Shen-Fu Hsiao and Yu-Hen Hu and Tso-Bing Juang",
  title =        "A memory-efficient and high-speed sine\slash cosine
                 generator based on parallel {CORDIC} rotations",
  journal =      j-IEEE-SIGNAL-PROCESS-LETT,
  volume =       "11",
  number =       "2",
  pages =        "152--155",
  year =         "2004",
  CODEN =        "ISPLEM",
  DOI =          "https://doi.org/10.1109/LSP.2003.821705",
  ISSN =         "1070-9908 (print), 1558-2361 (electronic)",
  ISSN-L =       "1070-9908",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Signal Processing Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=97",
  keywords =     "Arithmetic; Circuits; Computer science; Delay;
                 Equations; Error correction; Frequency; Prediction
                 algorithms; Read only memory; Signal generators",
}

@InBook{Janiszewski:2004:FEH,
  author =       "Ireneusz Janiszewski and Hermann Meuth and Berhard
                 Hoppe",
  booktitle =    "Field Programmable Logic and Application",
  title =        "{FPGA}-Efficient Hybrid {LUT\slash CORDIC}
                 Architecture",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "933--937",
  year =         "2004",
  DOI =          "https://doi.org/10.1007/978-3-540-30117-2_102",
  ISBN =         "3-540-30117-8",
  ISBN-13 =      "978-3-540-30117-2",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Juang:2004:CPC,
  author =       "Tso-Bing Juang and Shen-Fu Hsiao and Ming-Yu Tsai",
  title =        "{Para-CORDIC}: parallel {CORDIC} rotation algorithm",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "51",
  number =       "8",
  pages =        "1515--1524",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/TCSI.2004.832734",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "94A12 (68W40)",
  MRnumber =     "2090944",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1374.94526",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "94A12,68W40; Computer architecture; Computer science;
                 Concurrent computing; Delay; Iterative algorithms;
                 Matrix decomposition; Signal processing algorithms; Two
                 dimensional displays; Vectors",
  ZBmath =       "6809857",
}

@InProceedings{Lund:2004:FLC,
  author =       "T. Lund and M. Aguirre and A. Torrala",
  booktitle =    "{2004 IEEE International Symposium on Industrial
                 Electronics}",
  title =        "Fuzzy logic control via an {FPGA}: a design using
                 techniques from digital signal processing",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "555--559 (vol. 1)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ISIE.2004.1571867",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuits; Control systems; CORDIC; Digital
                 signal processing; distributed arithmetic; Field
                 programmable gate arrays; FPGA; Fuzzy control; fuzzy
                 logic; Fuzzy logic; Hardware; Process design; Signal
                 design",
}

@Article{Ma:2004:PCB,
  author =       "Jun Ma and K. K. Parhi",
  title =        "Pipelined {CORDIC}-based state-space orthogonal
                 recursive digital filters using matrix look-ahead",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "52",
  number =       "7",
  pages =        "2102--2119",
  year =         "2004",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/TSP.2004.828947",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Algorithm design and analysis; Application software;
                 Digital filters; Digital signal processing; IIR
                 filters; MIMO; Pipeline processing; Signal processing
                 algorithms; Topology; Very large scale integration",
}

@InProceedings{Maharatna:2004:BCR,
  author =       "K. Maharatna and A. Troya and S. Banerjee and E. Grass
                 and M. Krstic",
  booktitle =    "{2004 IEEE 15th International Symposium on Personal,
                 Indoor and Mobile Radio Communications (IEEE Cat. No.
                 04TH8754)}",
  title =        "A 16-bit {CORDIC} rotator for high-speed wireless
                 {LAN}",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1747--1751 (vol. 3)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/PIMRC.2004.1368299",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Circuits; Convergence; Cost function;
                 Equations; Frequency synchronization; Hardware;
                 Research and development; Very large scale integration;
                 Wireless LAN",
}

@InProceedings{Maharatna:2004:CLP,
  author =       "K. Maharatna and A. Troya and M. Krstic and E. Grass
                 and U. Jagdhold",
  booktitle =    "{2004 IEEE International Symposium on Circuits and
                 Systems (IEEE Cat. No. 04CH37512)}",
  title =        "A {CORDIC} like processor for computation of
                 arctangent and absolute magnitude of a vector",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-713",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ISCAS.2004.1329371",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Computer architecture; Computer errors;
                 Convergence; Costs; Hardware; Iterative algorithms;
                 Modems; Power generation economics; Very large scale
                 integration",
}

@PhdThesis{Otte:2004:MSR,
  author =       "Marius Otte",
  title =        "{Matrixbasierte Signalverarbeitung auf
                 rekonfigurierbaren CORDIC-Architekturen}. ({German})
                 [{Matrix}-based signal processing on reconfigurable
                 {CORDIC} architectures]",
  type =         "{Ph.D.} thesis",
  school =       "Universit{\"a}t Dortmund",
  address =      "Dortmund, Germany",
  year =         "2004",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/matrixbasierte-signalverarbeitung-auf/docview/2343664056/se-2",
  acknowledgement = ack-nhfb,
  language =     "German",
  ris-m1 =       "27805667",
}

@Article{Park:2004:FPE,
  author =       "Sang Yoon Park and Nam Ik Cho",
  title =        "Fixed-point error analysis of {CORDIC} processor based
                 on the variance propagation formula",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "51",
  number =       "3",
  pages =        "573--584",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/TCSI.2003.820232",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "94A12 (68W35)",
  MRnumber =     "2120694",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1374.94581",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "94A12,68W35; Algorithm design and analysis; Analysis
                 of variance; Computer errors; Digital signal
                 processing; Error analysis; Fast Fourier transforms;
                 Signal analysis; Signal design; Signal processing
                 algorithms; Signal to noise ratio",
  ZBmath =       "6809836",
}

@Article{Sarrigeorgidis:2004:ULP,
  author =       "Konstantinos Sarrigeorgidis and Jan Rabaey",
  title =        "Ultra Low Power {CORDIC} Processor for Wireless
                 Communication Algorithms",
  journal =      j-J-VLSI-SIGNAL-PROC-SSIVT,
  volume =       "38",
  number =       "2",
  pages =        "115--130",
  month =        sep,
  year =         "2004",
  DOI =          "https://doi.org/10.1023/b:vlsi.0000040424.11334.34",
  ISSN =         "1387-5485 (print), 1573-0506 (electronic)",
  ISSN-L =       "1387-5485",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "J. VLSI Signal Process. Syst. Signal, Image Video
                 Tech.",
  fjournal =     "Journal of VLSI Signal Processing Systems for Signal,
                 Image and Video Technology",
  journal-URL =  "https://link.springer.com/journal/11265",
}

@InProceedings{Shiraishi:2004:CAT,
  author =       "S. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{2004 IEEE Region 10 Conference TENCON 2004.}",
  title =        "A convergence analysis technique for a {CORDIC}-based
                 {ARMA} lattice filter",
  volume =       "A",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "487--490 (vol. 1)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/TENCON.2004.1414463",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Filters; Lattices",
}

@InProceedings{Shiraishi:2004:CMC,
  author =       "S. Shiraishi and M. Haseyama and H. Kitajima",
  booktitle =    "{2004 IEEE International Symposium on Circuits and
                 Systems (IEEE Cat. No. 04CH37512)}",
  title =        "A convergence model for a {CORDIC}-based {ARMA}
                 lattice filter",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "III-301",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ISCAS.2004.1328743",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Application specific integrated
                 circuits; Convergence; Digital filters; Digital signal
                 processing; Hardware; Lattices; Predictive models;
                 Signal analysis; Wireless communication",
}

@InProceedings{Simon:2004:ISE,
  author =       "S. Simon and M. Muller and H. Gryska and A. Wortmann
                 and S. Buch",
  booktitle =    "{2004 IEEE International Symposium on Circuits and
                 Systems (IEEE Cat. No. 04CH37512)}",
  title =        "An instruction set for the efficient implementation of
                 the {CORDIC} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-357",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ISCAS.2004.1329282",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Application specific processors;
                 Circuits; Design methodology; Hardware design
                 languages; Information technology; Moore's Law;
                 Productivity; Signal processing algorithms; Timing",
}

@MastersThesis{Subramaniam:2004:SFC,
  author =       "Meera Subramaniam",
  title =        "Studies on Flat {CORDIC} Implementation in Field
                 Programmable Gate Arrays ({FPGA})",
  type =         "{M.C.S.} thesis",
  school =       "Universiti Malaya",
  address =      "Kuala Lumpur, Malaysia",
  pages =        "106",
  year =         "2004",
  ISBN-13 =      "979-83-8046-578-6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/studies-on-flat-cordic-implementation-field/docview/2877961284/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Mashkuri Haji Yaacob",
  keywords =     "0389:Design; 0405:Mathematics; 0464:Computer
                 Engineering; 0771:Robotics; 0984:Computer science; C
                 plus plus; Computer engineering; Computer science;
                 Decomposition; Design; Field programmable gate arrays;
                 Mathematical functions; Mathematics; Random access
                 memory; Read only memory--ROM; Robotics; Software",
  ris-m1 =       "30600968",
}

@InProceedings{Suchitra:2004:HTI,
  author =       "S. Suchitra and S. K. Lam and T. Srikanthan",
  booktitle =    "{2004 International Conference on Image Processing,
                 2004. ICIP '04.}",
  title =        "High-throughput image rotation using sign-prediction
                 based redundant {CORDIC} algorithm",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2833--2836 (vol. 4)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/ICIP.2004.1421694",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Biomedical image processing;
                 Computer architecture; Computer vision; Engines;
                 Hardware; Image processing; Pipelines; Process design;
                 Throughput",
}

@InProceedings{Williams:2004:CBS,
  author =       "L. V. Williams and J. H. Takala",
  booktitle =    "{SympoTIC '04. Joint 1st Workshop on Mobile Future \&
                 Symposium on Trends In Communications (IEEE Cat. No.
                 04EX877)}",
  title =        "{CORDIC}-based systolic adaptive equalizer
                 architecture for high data rate {CDMA} receivers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "9--12",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/TIC.2004.1409486",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive equalizers; Arithmetic; Fading; Multiaccess
                 communication; Multipath channels; Quadrature amplitude
                 modulation; Quadrature phase shift keying; RAKE
                 receivers; Throughput; Vectors",
}

@InProceedings{Zaidi:2004:ATE,
  author =       "T. Zaidi and Q. Chaudry and S. A. Khan",
  booktitle =    "{8th International Multitopic Conference, 2004.
                 Proceedings of INMIC 2004.}",
  title =        "An area and time efficient collapsed modified {CORDIC}
                 {DDFS} architecture for high rate digital receivers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "677--681",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/INMIC.2004.1492976",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Equations; Field
                 programmable gate arrays; Hardware; Iterative
                 algorithms; Pipeline processing; Polynomials; Read only
                 memory; Table lookup; Tin",
}

@InProceedings{Zhang:2004:MAWa,
  author =       "Guoping Zhang and F. Chin",
  booktitle =    "{2004 IEEE 15th International Symposium on Personal,
                 Indoor and Mobile Radio Communications (IEEE Cat. No.
                 04TH8754)}",
  title =        "Multi-antenna {WCDMA} receiver design with {CORDIC}",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2811--2814 (vol. 4)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/PIMRC.2004.1368833",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; Equalizers; Fading; Finite impulse
                 response filter; Hardware; Multiaccess communication;
                 Multipath channels; RAKE receivers; Receiving antennas;
                 Very large scale integration",
}

@InProceedings{Zhang:2004:MAWb,
  author =       "Guoping Zhang and F. Chin",
  booktitle =    "{The 2nd Annual IEEE Northeast Workshop on Circuits
                 and Systems, 2004. NEWCAS 2004}",
  title =        "Multi-antenna {WCDMA} receiver design with {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "105--108",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/NEWCAS.2004.1359033",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; Equalizers; Fading; Finite impulse
                 response filter; Hardware; Multiaccess communication;
                 Multipath channels; RAKE receivers; Receiving antennas;
                 Very large scale integration",
}

@InProceedings{Zhang:2004:PFC,
  author =       "Guoping Zhang and F. Chen",
  booktitle =    "{2004 IEEE 15th International Symposium on Personal,
                 Indoor and Mobile Radio Communications (IEEE Cat. No.
                 04TH8754)}",
  title =        "Parallel {FFT} with {CORDIC} for ultra wide band",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1173--1177 (vol. 2)",
  year =         "2004",
  DOI =          "https://doi.org/10.1109/PIMRC.2004.1373883",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Costs; Data processing; Energy consumption;
                 Hardware; Pipelines; Signal processing algorithms;
                 Throughput; Ultra wideband technology; Very large scale
                 integration",
}

@InProceedings{Ali:2005:CNS,
  author =       "Usman Ali and Umair Ali Sheikh",
  booktitle =    "{2005 Pakistan Section Multitopic Conference}",
  title =        "{CORDIC}: Novel sequential and Pipelined Architectures
                 and Performance issues",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/INMIC.2005.334423",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Design automation; Field
                 programmable gate arrays; Hardware; Information
                 technology; Iterative algorithms; Navigation; Read only
                 memory; Signal processing algorithms; Vectors",
}

@InProceedings{Angarita:2005:EFI,
  author =       "F. Angarita and A. Perez-Pascual and T. Sansaloni and
                 J. Vails",
  booktitle =    "{International Conference on Field Programmable Logic
                 and Applications, 2005.}",
  title =        "Efficient {FPGA} implementation of {CORDIC} algorithm
                 for circular and linear coordinates",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "535--538",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/FPL.2005.1515779",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Computer architecture; Digital signal
                 processing; Field programmable gate arrays; Frequency
                 estimation; Hardware; Iterative algorithms; OFDM;
                 Signal processing algorithms; Vectors",
}

@InProceedings{Antelo:2005:LLP,
  author =       "Elisardo Antelo and Julio Villalba",
  booktitle =    "{17th IEEE Symposium on Computer Arithmetic
                 (ARITH'05)}",
  title =        "Low Latency Pipelined Circular {CORDIC}",
  crossref =     "Montuschi:2005:PIS",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "280--287",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ARITH.2005.30",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://arith17.polito.it/final/paper-132.pdf",
  abstract =     "The pipelined CORDIC with linear approximation to
                 rotation has been proposed to achieve reductions in
                 delay, power and area; however, the schemes for
                 rotation (multiplication) and vectoring (division)
                 complicate implementation in a single unit. In this
                 work, we improve the linear approximation scheme,
                 leading to a unified implementation for rotation and
                 vectoring where fully parallel tree multipliers are
                 used instead of the second half of CORDIC iterations.
                 We also combine the linear approximation to rotation
                 with the scale factor compensation so that the
                 compensation is performed concurrently with the
                 rotation process. Comparison with other designs is also
                 provided.",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; ARITH-17; Arithmetic; Computer architecture;
                 Delay; Digital signal processing; Graphics; Linear
                 approximation; Signal processing algorithms; Speech
                 processing; Vectors",
  pagecount =    "8",
}

@Article{Chakraborty:2005:TFL,
  author =       "M. Chakraborty and A. S. Dhar and Moon Ho Lee",
  title =        "A trigonometric formulation of the {LMS} algorithm for
                 realization on pipelined {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "52",
  number =       "9",
  pages =        "530--534",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/TCSII.2005.850784",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Adaptive filters; Algorithm design and analysis;
                 Analytical models; Convergence; Filtering algorithms;
                 Finite impulse response filter; Hardware; Least mean
                 square (LMS) algorithm; Least squares approximation;
                 pipelined CORDIC unit; Signal processing algorithms;
                 Transversal filters",
}

@InProceedings{Chih:2005:CPE,
  author =       "J.-C. Chih and Kun-Lung Chen and Sau-Gee Chen",
  booktitle =    "{2005 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A {CORDIC} processor with efficient table-lookup
                 schemes for rotations and on-line scale factor
                 compensations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3315--3318 (vol. 4)",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ISCAS.2005.1465337",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Costs; Delay effects; Design
                 engineering; Design optimization; Frequency; Hardware;
                 Pipelines; Process design",
}

@InProceedings{Farivar:2005:CBP,
  author =       "R. Farivar and M. Fazeli and H. Sarbazi-Azad",
  booktitle =    "{19th IEEE International Parallel and Distributed
                 Processing Symposium}",
  title =        "A {CORDIC}-based processor extension for scalar and
                 vector processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7 (??)",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/IPDPS.2005.11",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Application specific integrated
                 circuits; ASIC; Computer architecture; Coprocessors;
                 CORDIC Algorithm; Discrete Fourier transforms;
                 Educational institutions; Field programmable gate
                 arrays; Fourier transforms; FPGA; Hardware; Pool of
                 Processors; Processor Extension; SIMD Architecture;
                 Throughput; Trigonometric functions; Variable
                 precision; Vector Processing",
}

@MastersThesis{Fitzharris:2005:SPC,
  author =       "Michael Ryan Fitzharris",
  title =        "Super-pipelined {CORDIC} Unit",
  type =         "{M.S.} thesis",
  school =       "Drexel University",
  address =      "Philadelphia, PA, USA",
  pages =        "105",
  year =         "2005",
  ISBN-13 =      "979-83-8039-923-4",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/super-pipelined-cordic-unit/docview/2874122636/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Prawat Nagvajara and Jeremy R. Johnson",
  keywords =     "0364:Applied Mathematics; 0464:Computer Engineering;
                 0984:Computer science; Applied mathematics; Binary
                 shift operations; Clock cycle; Computer engineering;
                 Computer science; Cosine computations; Loadflow
                 equations",
  ris-m1 =       "30754081",
}

@InProceedings{Gilbert:2005:ODP,
  author =       "G. Gilbert and D. Al-Khalili and C. Rozon",
  booktitle =    "{The 3rd International IEEE-NEWCAS Conference,
                 2005.}",
  title =        "Optimized distributed processing of scaling factor in
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "35--38",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/NEWCAS.2005.1496664",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer architecture; Computer
                 graphics; Digital signal processing; Distributed
                 processing; Educational institutions; Equations;
                 Iterative algorithms; Matrices; Military computing",
}

@InProceedings{Heyne:2005:CBE,
  author =       "B. Heyne and J{\"u}rgen G{\"o}tze",
  booktitle =    "{IEEE Workshop on Signal Processing Systems Design and
                 Implementation, 2005.}",
  title =        "A {CORDIC} based equalizer for multiuser detection in
                 {WCDMA} systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "257--261",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/SIPS.2005.1579875",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AWGN; Channel estimation; Convolution; Convolutional
                 codes; Detectors; Downlink; Equalizers; Matched
                 filters; Multiaccess communication; Multiuser
                 detection",
}

@Article{Hsiao:2005:EVI,
  author =       "Shen-Fu Hsiao and Yu Hen Hu and T.-B. Juang and
                 Chung-Han Lee",
  title =        "Efficient {VLSI} implementations of fast
                 multiplierless approximated {DCT} using parameterized
                 hardware modules for silicon intellectual property
                 design",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "52",
  number =       "8",
  pages =        "1568--1579",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/TCSI.2005.851709",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "binDCT; Computer architecture; CORDIC; Discrete cosine
                 transform (DCT); Discrete cosine transforms; Discrete
                 Fourier transforms; Embedded computing; Hardware;
                 integer DCT (IDCT); Intellectual property; shifted
                 discrete Fourier transform (SDFT); Silicon;
                 System-on-a-chip; Two dimensional displays; Very large
                 scale integration; very large-scale integration (VLSI)
                 design",
}

@InProceedings{Jiang:2005:FII,
  author =       "Xiao-Gang Jiang and Jian-Yang Zhou and Jiang-Hong Shi
                 and Hui-Huang Chen",
  booktitle =    "{2005 6th International Conference on ASIC}",
  title =        "{FPGA} implementation of image rotation using modified
                 compensated {CORDIC}",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "752--756",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ICASIC.2005.1611424",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Computer architecture;
                 Convergence; Costs; Field programmable gate arrays;
                 Hardware design languages; Image processing;
                 Interpolation; Real time systems; Visual system",
}

@Article{Karabernou:2005:RTF,
  author =       "Si Mahmoud Karabernou and Fay{\c{c}}al Terranti",
  title =        "Real-time {FPGA} implementation of {Hough Transform}
                 using gradient and {CORDIC} algorithm",
  journal =      j-IMAGE-VIS-COMPUT,
  volume =       "23",
  number =       "11",
  pages =        "1009--1017",
  year =         "2005",
  CODEN =        "IVCODK",
  DOI =          "https://doi.org/10.1016/j.imavis.2005.07.004",
  ISSN =         "0262-8856 (print), 1872-8138 (electronic)",
  ISSN-L =       "0262-8856",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0262885605000922",
  acknowledgement = ack-nhfb,
  fjournal =     "Image and Vision Computing",
  keywords =     "CORDIC; FPGA; Hough; Real-time",
}

@Article{Kosunen:2005:MQM,
  author =       "M. Kosunen and J. Vankka and M. Waltari and K. A. I.
                 Halonen",
  title =        "A multicarrier {QAM} modulator for {WCDMA}
                 base-station with on-chip {D/A} converter",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "13",
  number =       "2",
  pages =        "181--190",
  year =         "2005",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2004.840778",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Amplitude modulation; Canonic signed digit; coordinate
                 rotation digital computer (CORDIC); Digital modulation;
                 Filters; finite impulse response (FIR) filters;
                 Interpolation; interpolation; Modulation coding;
                 Multiaccess communication; Phase modulation; Quadrature
                 amplitude modulation; Streaming media; transmitter;
                 Wideband",
}

@Article{Lang:2005:HTC,
  author =       "Tom{\'a}s Lang and E. Antelo",
  title =        "High-throughput {CORDIC}-based geometry operations for
                 {3D} computer graphics",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "54",
  number =       "3",
  pages =        "347--361",
  month =        mar,
  year =         "2005",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2005.53",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "3D rotations; Computational geometry; Computer
                 graphics; Coprocessors; geometry transforms; graphics
                 processor.; Index Terms- CORDIC; vector normalization",
}

@Article{Lin:2005:MSR,
  author =       "Chih-Hsiu Lin and An-Yeu Wu",
  title =        "Mixed-scaling-rotation {CORDIC} ({MSR-CORDIC})
                 algorithm and architecture for high-performance vector
                 rotational {DSP} applications",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "52",
  number =       "11",
  pages =        "2385--2396",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/TCSI.2005.853908",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Application software; Computer architecture;
                 Coordinate rotational digital computer (CORDIC);
                 Digital arithmetic; Digital signal processing; Dynamic
                 range; extend elementary angle set (EEAS)-CORDIC; fast
                 Fourier transform (FFT); Fast Fourier transforms;
                 Hardware; Iterative algorithms; Process design; Signal
                 processing algorithms; twiddle factor",
}

@Article{Liu:2005:ASI,
  author =       "Zhaohui Liu and K. Dickson and J. V. McCanny",
  title =        "Application-specific instruction set processor for
                 {SoC} implementation of modern signal processing
                 algorithms",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "52",
  number =       "4",
  pages =        "755--765",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/TCSI.2005.844109",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Application specific processors; Application-specific
                 instruction set processor (ASIP); Array signal
                 processing; Computer aided instruction; Computer
                 architecture; Concurrent computing; coordinate rotation
                 digital computer (CORDIC) processors; Digital
                 arithmetic; High performance computing; Matrix
                 decomposition; modern signal processing; QR
                 decomposition (QRD); Real time systems; Signal
                 processing algorithms; singular-value decomposition
                 (SVD); system on chip (SoC)",
}

@Article{Maharatna:2005:MVS,
  author =       "K. Maharatna and S. Banerjee and E. Grass and M.
                 Krstic and A. Troya",
  title =        "Modified virtually scaling-free adaptive {CORDIC}
                 rotator algorithm and architecture",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-VIDEO-TECH,
  volume =       "15",
  number =       "11",
  pages =        "1463--1474",
  year =         "2005",
  CODEN =        "ITCTEM",
  DOI =          "https://doi.org/10.1109/TCSVT.2005.856908",
  ISSN =         "1051-8215 (print), 1558-2205 (electronic)",
  ISSN-L =       "1051-8215",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems for Video
                 Technology",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=76",
  keywords =     "Arithmetic; Computer architecture; Convergence;
                 Coordinate rotation digital computer (CORDIC); Digital
                 signal processing; digital signal processing (DSP);
                 Discrete cosine transforms; Discrete Fourier
                 transforms; Fast Fourier transforms; Hardware;
                 scaling-free CORDIC; Signal processing algorithms;
                 Space technology; vector rotation; very large-scale
                 integration (VLSI)",
}

@InProceedings{Molino:2005:NOC,
  author =       "Andrea Molino and Fabrizio Vacca",
  booktitle =    "{2005 13th European Signal Processing Conference,
                 04--08 September 2005, Antalya, Turkey}",
  title =        "A novel, optimized {CORDIC} core for phase correlation
                 motion estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2005",
  ISBN =         "1-60423-821-6",
  ISBN-13 =      "978-1-60423-821-1",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7078408/",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Correlation; Equations;
                 Estimation; Motion estimation; Registers; Vectors",
}

@InProceedings{Molino:2005:OCC,
  author =       "A. Molino and F. Vacca and G. Masera",
  booktitle =    "{IEEE International Conference on Image Processing
                 2005}",
  title =        "Optimized {CORDIC} core for frequency-domain motion
                 estimation",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "III-1072",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ICIP.2005.1530581",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS technology; Computer architecture; Discrete
                 Fourier transforms; Focusing; Frequency; Motion
                 detection; Motion estimation; Signal processing
                 algorithms; State estimation; Throughput",
}

@InProceedings{Park:2005:DPR,
  author =       "Sang Yoon Park and Nam Ik Cho",
  booktitle =    "{Proceedings. (ICASSP '05). IEEE International
                 Conference on Acoustics, Speech, and Signal Processing,
                 2005.}",
  title =        "Design of perfect reconstruction {QMF} lattice with
                 signed powers-of-two coefficients using {CORDIC}
                 algorithm",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "iv/565--iv/568 (vol. 4)",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ICASSP.2005.1416071",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Design optimization;
                 Digital filters; Filter bank; Finite impulse response
                 filter; Hardware; Lattices; Mirrors; Quantization;
                 Signal processing algorithms",
}

@Article{Peng:2005:CBA,
  author =       "Chia-Sheng Peng and Yuan-Shin Chuang and Kuei-Ann
                 Wen",
  title =        "{CORDIC}-based architecture with channel state
                 information for {OFDM} baseband receiver",
  journal =      j-IEEE-TRANS-CONSUMER-ELECTRONICS,
  volume =       "51",
  number =       "2",
  pages =        "403--412",
  year =         "2005",
  CODEN =        "ITCEDA",
  DOI =          "https://doi.org/10.1109/TCE.2005.1467979",
  ISSN =         "0098-3063 (print), 1558-4127 (electronic)",
  ISSN-L =       "0098-3063",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Consumer Electronics",
  keywords =     "AWGN; Baseband; Channel state information; Computer
                 architecture; Error analysis; Frequency estimation;
                 Frequency synchronization; OFDM; Sampling methods;
                 Semiconductor device modeling",
}

@Article{Schulte:2005:GEI,
  author =       "Michael J. Schulte and Jean-Claude Bajard",
  title =        "{Guest Editors}' Introduction: Special Issue on
                 Computer Arithmetic",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "54",
  number =       "3",
  pages =        "241--242",
  month =        mar,
  year =         "2005",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2005.50",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Wed Apr 27 18:04:38 MDT 2005",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://csdl.computer.org/comp/trans/tc/2005/03/t0241.pdf;
                 http://csdl.computer.org/dl/trans/tc/2005/03/t0241.htm",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  remark =       "From the article: ``This special issue presents recent
                 high-quality research in computer arithmetic. The 12
                 papers included in this special issue were selected
                 from 36 papers submitted in response to an open call
                 for papers. This call for papers followed the 16th IEEE
                 International Symposium on Computer Arithmetic, which
                 took place in Santiago de Compostella in June 2003.
                 Each paper received at least three reviews and the top
                 papers were selected for inclusion in this special
                 issue. Six papers are expanded versions of the papers
                 presented at the 16th IEEE Symposium on Computer
                 Arithmetic, three are expanded versions of papers
                 presented at other conferences, and three are original
                 contributions.''",
}

@InProceedings{Shijie:2005:SSG,
  author =       "Chen Shijie and Houjun Wang",
  booktitle =    "{Proceedings. 2005 International Conference on
                 Communications, Circuits and Systems, 2005}",
  title =        "A study of signal generation based on {CORDIC}
                 algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1337",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ICCCAS.2005.1495353",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computational modeling; Digital filters;
                 Digital signal processing; Frequency; Iterative
                 algorithms; Programmable logic arrays; Signal
                 generators; Signal processing algorithms; Signal
                 synthesis",
}

@InProceedings{Shiraishi:2005:SSA,
  author =       "Shin'ichi Shiraishi and Miki Haseyama and Hideo
                 Kitajima",
  booktitle =    "{TENCON 2005 --- 2005 IEEE Region 10 Conference}",
  title =        "A Steady-State Analysis of a {CORDIC}-based Adaptive
                 {ARMA} Lattice Filter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/TENCON.2005.301278",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Analytical models; Convergence;
                 Estimation error; Information analysis; Information
                 filtering; Information filters; Information science;
                 Lattices; Steady-state",
}

@InBook{Sima:2005:CAS,
  author =       "Mihai Sima and John Glossner and Daniel Iancu and Hua
                 Ye and Andrei Iancu and A. Joseph Hoane",
  booktitle =    "Embedded Computer Systems: Architectures, Modeling,
                 and Simulation",
  title =        "{CORDIC}-Augmented {Sandbridge} Processor for Channel
                 Equalization",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "152--161",
  year =         "2005",
  DOI =          "https://doi.org/10.1007/11512622_17",
  ISBN =         "3-540-31664-7",
  ISBN-13 =      "978-3-540-31664-0",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sima:2005:CSK,
  author =       "M. Sima and M. McGuire and D. Iancu and J. Glossner",
  booktitle =    "{PACRIM. 2005 IEEE Pacific Rim Conference on
                 Communications, Computers and signal Processing,
                 2005.}",
  title =        "{CORDIC} scenario for {Kalman}-based channel
                 estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "165--168",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/PACRIM.2005.1517251",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Channel estimation",
}

@InProceedings{Suchitra:2005:ESP,
  author =       "S. Suchitra and S. Sukthankar and T. Srikanthan and C.
                 T. Clarke",
  booktitle =    "{2005 IEEE International Symposium on Circuits and
                 Systems}",
  title =        "Elimination of sign precomputation in flat {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3319--3322 (vol. 4)",
  year =         "2005",
  DOI =          "https://doi.org/10.1109/ISCAS.2005.1465338",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Embedded computing; Embedded system; Engines;
                 Equations; Hardware; High performance computing;
                 Iterative algorithms; Logic; Scalability; Writing",
}

@InBook{Vankka:2005:CA,
  author =       "Jouko Vankka",
  booktitle =    "Digital Synthesizers and Transmitters for Software
                 Radio",
  title =        "{CORDIC} Algorithm",
  chapter =      "6",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "81--95",
  year =         "2005",
  DOI =          "https://doi.org/10.1007/1-4020-3195-5_6",
  ISBN =         "1-4020-3194-7",
  ISBN-13 =      "978-1-4020-3194-6",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Anguita:2006:FFS,
  author =       "D. Anguita and S. Pischiutta and S. Ridella and D.
                 Sterpi",
  title =        "Feed-Forward Support Vector Machine Without
                 Multipliers",
  journal =      j-IEEE-TRANS-NEURAL-NETW,
  volume =       "17",
  number =       "5",
  pages =        "1328--1331",
  year =         "2006",
  CODEN =        "ITNNEP",
  DOI =          "https://doi.org/10.1109/TNN.2006.877537",
  ISSN =         "1045-9227 (print), 1941-0093 (electronic)",
  ISSN-L =       "1045-9227",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Neural Networks",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=72",
  keywords =     "Algorithm design and analysis; Coordinate rotation
                 digital computer (CORDIC); Embedded computing; Embedded
                 system; embedded systems; Feedforward systems;
                 Fixed-point arithmetic; fixed-point arithmetic;
                 Hardware; Kernel; Machine learning algorithms; support
                 vector machine (SVM); Support vector machine
                 classification; Support vector machines",
}

@Article{Arrigo:2006:PAA,
  author =       "J. F. Arrigo and P. M. Chau",
  title =        "Power aware attitude computation during rapid
                 rotational motion",
  journal =      j-IEEE-TRANS-INSTRUM-MEAS,
  volume =       "55",
  number =       "1",
  pages =        "63--69",
  year =         "2006",
  CODEN =        "IEIMAO",
  DOI =          "https://doi.org/10.1109/TIM.2005.861245",
  ISSN =         "0018-9456 (print), 1557-9662 (electronic)",
  ISSN-L =       "0018-9456",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Instrumentation and Measurement",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=19",
  keywords =     "Application specific integrated circuits; Attitude
                 determination; CORDIC; Energy consumption; Field
                 programmable gate arrays; Gyroscopes; gyroscopes;
                 kinematic equation; Kinematics; Microelectromechanical
                 systems; Micromechanical devices; motion capture; power
                 aware computing; Quaternions; quaternions; Sensor
                 systems; Virtual reality; virtual reality",
}

@InProceedings{Chen:2006:HRA,
  author =       "Chuen-yau Chen and Cheng-yuan Lin",
  booktitle =    "{2006 International Conference on Communications,
                 Circuits and Systems}",
  title =        "High-Resolution Architecture for {CORDIC} Algorithm
                 Realization",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "579--582",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICCCAS.2006.284703",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cities and towns; Communication system control;
                 Control systems; Councils; Degradation; Hardware;
                 Partitioning algorithms; Read only memory; Table
                 lookup",
}

@InProceedings{Cui:2006:CDP,
  author =       "Xiaoxin Cui and Dunshan Yu and Shimin Sheng and Xiaole
                 Cui",
  booktitle =    "{2006 8th International Conference on Solid-State and
                 Integrated Circuit Technology Proceedings}",
  title =        "A {CORDIC} Demodulator Platform for {Digital-IF}
                 Receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2025--2027",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICSICT.2006.306582",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; Data mining; Demodulation; Design
                 methodology; Detectors; Field programmable gate arrays;
                 Frequency shift keying; Frequency synchronization;
                 Protection; Testing",
}

@InProceedings{Cui:2006:DIL,
  author =       "Xiaoxin Cui and Dunshan Yu and Shimin Sheng and Xiaole
                 Cui",
  booktitle =    "{APCCAS 2006 --- 2006 IEEE Asia Pacific Conference on
                 Circuits and Systems}",
  title =        "Design and Implementation of a 2-level {FSK} Digital
                 Modems Using {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1753--1756",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/APCCAS.2006.342157",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "2FSK; Algorithm design and analysis; Bit error rate;
                 CORDIC; CPFSK; Data mining; Detectors; digital modems;
                 Field programmable gate arrays; Frequency shift keying;
                 Frequency synchronization; Modems; Protection; Signal
                 to noise ratio",
}

@InProceedings{Feng:2006:RAE,
  author =       "Luo Feng and He Kun and Wu Shunjun",
  booktitle =    "{2006 CIE International Conference on Radar}",
  title =        "Research of Acquiring Eigenvector of Real Symmetric
                 Matrix",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICR.2006.343201",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clutter; CORDIC; Delay effects; Documentation;
                 Eigenvalue; Eigenvalues and eigenfunctions;
                 Eigenvector; Jacobi; Jacobian matrices; Matrix
                 decomposition; Radar signal processing; Signal
                 processing algorithms; Symmetric matrices; Systolic
                 Array; Systolic arrays",
}

@InBook{Fons:2006:TCE,
  author =       "Francisco Fons and Mariano Fons and Enrique Cant{\'o}
                 and Mariano L{\'o}pez",
  booktitle =    "Reconfigurable Computing: Architectures and
                 Applications",
  title =        "Trigonometric Computing Embedded in a Dynamically
                 Reconfigurable {CORDIC} System-on-Chip",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "122--127",
  year =         "2006",
  DOI =          "https://doi.org/10.1007/11802839_17",
  ISBN =         "3-540-36863-9",
  ISBN-13 =      "978-3-540-36863-2",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Fu:2006:TSA,
  author =       "Dengwei Fu and A. N. Willson",
  title =        "A two-stage angle-rotation architecture and its error
                 analysis for efficient digital mixer implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "53",
  number =       "3",
  pages =        "604--614",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/TCSI.2005.859056",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Angle rotation; Arithmetic; Computer architecture;
                 CORDIC; Delay; digital mixer; direct digital
                 synthesizer (DDS); Error analysis; Frequency; Hardware;
                 low-latency; Read only memory; synchronization;
                 Synthesizers; Table lookup; trigonometric function
                 generation",
}

@InProceedings{Garcia:2006:PCD,
  author =       "Esteban O. Garcia and Rene Cumplido and Miguel Arias",
  booktitle =    "{2006 3rd International Conference on Electrical and
                 Electronics Engineering}",
  title =        "Pipelined {CORDIC} Design on {FPGA} for a Digital Sine
                 and Cosine Waves Generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICEEE.2006.251917",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer architecture; CORDIC;
                 Demodulation; Digital modulation; Field programmable
                 gate arrays; FPGA; Hardware; Iterative algorithms; SDR;
                 Signal processing algorithms; Software radio; Vectors",
}

@MastersThesis{Gilbert:2006:PHP,
  author =       "Guillaume Gilbert",
  title =        "Parameterized high performance {CORDIC} processor
                 architectures for {FPGAs}",
  type =         "{M.A.Sc.} thesis",
  school =       "Royal Military College of Canada",
  address =      "Kingston, ON, Canada",
  pages =        "100",
  year =         "2006",
  ISBN =         "0-494-36473-4",
  ISBN-13 =      "978-0-494-36473-4",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/parameterized-high-performance-cordic-processor/docview/304922484/se-2",
  acknowledgement = ack-nhfb,
  keywords =     "0544:Electrical engineering; 0984:Computer science;
                 Applied sciences; Computer science; Electrical
                 engineering",
  ris-m1 =       "MR36473",
}

@Article{Granado:2006:DEC,
  author =       "J. Granado and A. Torralba and J. Chavez and V.
                 Baena-Lecuyer",
  title =        "Design of an efficient {CORDIC}-based architecture for
                 synchronization in {OFDM}",
  journal =      j-IEEE-TRANS-CONSUMER-ELECTRONICS,
  volume =       "52",
  number =       "3",
  pages =        "774--782",
  year =         "2006",
  CODEN =        "ITCEDA",
  DOI =          "https://doi.org/10.1109/TCE.2006.1706469",
  ISSN =         "0098-3063 (print), 1558-4127 (electronic)",
  ISSN-L =       "0098-3063",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Consumer Electronics",
  keywords =     "Computer architecture; Demodulation; Frequency
                 estimation; Frequency synchronization; Hardware;
                 Intersymbol interference; OFDM modulation; Signal to
                 noise ratio; Time domain analysis; Transmitters",
}

@InProceedings{Hahanov:2006:CTC,
  author =       "Vladimir Hahanov and Olga Melnikova and Dmitriy Melnik
                 and Philat Levchenko",
  booktitle =    "{2006 International Conference --- Modern Problems of
                 Radio Engineering, Telecommunications, and Computer
                 Science}",
  title =        "{CAD} Tools for {CORDIC} {IP} Cores Generation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "375--378",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/TCSET.2006.4404556",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CAD; Circuit testing;
                 CORDIC; Design automation; Design engineering; Digital
                 systems; Equations; Graphical user interfaces; IP Core;
                 Iterative algorithms; Manufacturing industries;
                 Silicon; Test Bench; VHDL",
}

@InProceedings{Heyne:2006:CEH,
  author =       "B. Heyne and C. C. Sun and J. Goetze and S. J. Ruan",
  booktitle =    "{2006 14th European Signal Processing Conference,
                 04--08 September 2006, Florence, Italy }",
  title =        "A computationally efficient high-quality {CORDIC}
                 based {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2006",
  ISSN =         "2219-5491",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7071034/",
  acknowledgement = ack-nhfb,
  keywords =     "Abstracts; Algorithm design and analysis; Codecs;
                 Computational efficiency; RNA",
}

@InProceedings{Jianwen:2006:MIR,
  author =       "Luo Jianwen and Jong Ching Chuen",
  booktitle =    "{APCCAS 2006 --- 2006 IEEE Asia Pacific Conference on
                 Circuits and Systems}",
  title =        "Matrix Inversion on Reconfigurable Hardware using
                 Binary-coded z-path {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1176--1179",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/APCCAS.2006.342351",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Application specific integrated
                 circuits; CORDIC; Delay; Digital signal processing;
                 Digital signal processors; Fabrication; FPGA; Givens
                 rotation; Hardware; matrix inversion; Production;
                 Signal processing algorithms; Throughput",
}

@Article{Jolly:2006:MSA,
  author =       "E. K. Jolly and M. Fleury",
  title =        "Multi-sector algorithm for hardware acceleration of
                 the general {Hough} transform",
  journal =      j-IMAGE-VIS-COMPUT,
  volume =       "24",
  number =       "9",
  pages =        "970--976",
  year =         "2006",
  CODEN =        "IVCODK",
  DOI =          "https://doi.org/10.1016/j.imavis.2006.02.016",
  ISSN =         "0262-8856 (print), 1872-8138 (electronic)",
  ISSN-L =       "0262-8856",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0262885606000989",
  acknowledgement = ack-nhfb,
  fjournal =     "Image and Vision Computing",
  keywords =     "CORDIC; FPGA; Hough transform",
}

@InProceedings{Juang:2006:ADE,
  author =       "Tso-Bing Juang",
  booktitle =    "{APCCAS 2006 --- 2006 IEEE Asia Pacific Conference on
                 Circuits and Systems}",
  title =        "Area\slash Delay Efficient Recoding Methods for
                 Parallel {CORDIC} Rotations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1539--1542",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/APCCAS.2006.342536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Business; Concurrent computing; CORDIC; Delay; Helium;
                 Information technology; Iterative algorithms; Matrix
                 decomposition; recoding; Signal processing algorithms;
                 Vectors; Very large scale integration; VLSI
                 architecture",
}

@Article{Kang:2006:DPD,
  author =       "Chang Yong Kang and E. E. Swartzlander",
  title =        "Digit-pipelined direct digital frequency synthesis
                 based on differential {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "53",
  number =       "5",
  pages =        "1035--1044",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/TCSI.2005.862183",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computer architecture; differential CORDIC (DCORDIC);
                 Digit-level pipelining; Digital arithmetic; direct
                 digital frequency synthesis (DDFS); Frequency
                 synthesizers; Hardware design languages; Iterative
                 algorithms; on-line arithmetic; Oscillators; Pipeline
                 processing; Read only memory; systolic array; Systolic
                 arrays; Tuning",
}

@Article{Khan:2006:AIM,
  author =       "Zahid Khan and Tughrul Arslan and John S. Thompson and
                 Ahmet T. Erdogan",
  title =        "Analysis and Implementation of Multiple Input,
                 Multiple Output {VBLAST} Receiver From Area and Power
                 Efficiency Perspective",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "14",
  number =       "11",
  pages =        "1281--1286",
  year =         "2006",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2006.886403",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Bit error rate; Computational complexity; Computer
                 architecture; CORDIC; Hardware; Jacobi rotation; Mean
                 square error methods; MIMO; minimum mean square error
                 (MMSE); multiple-input multiple-output (MIMO) wireless
                 system; Power system modeling; pseudoinverse; Signal
                 processing algorithms; square root algorithm;
                 Transmitting antennas; vertical Bell Laboratories
                 layered space time (VBLAST); Very large scale
                 integration; VLSI",
}

@MastersThesis{McLenegan:2006:CAA,
  author =       "Tim McLenegan",
  title =        "The {CORDIC} Algorithm: an Area-Efficient Technique
                 for {FPGA}-Based Artificial Neural Networks: a Thesis",
  type =         "{M.S.} thesis",
  school =       "California Polytechnic State University",
  address =      "San Luis Obispo, CA, USA",
  pages =        "96",
  year =         "2006",
  ISBN-13 =      "979-84-92720-96-4",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-algorithm-area-efficient-technique-fpga/docview/2581820886/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Lynn Slivovsky",
  keywords =     "0544:Electrical engineering; Artificial neural
                 networks; Electrical engineering; Field programmable
                 gate array; Simulations",
  ris-m1 =       "28859325",
}

@InCollection{Muller:2006:CA,
  author =       "Jean-Michel Muller",
  title =        "The {CORDIC} algorithm",
  crossref =     "Muller:2006:EFA",
  pages =        "133--156",
  year =         "2006",
  DOI =          "https://doi.org/10.1007/0-8176-4408-3_7",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Nagayama:2006:PNF,
  author =       "S. Nagayama and T. Sasao",
  editor =       "????",
  booktitle =    "Proceedings of Asia South Pacific Design Automation
                 Conference. Japan",
  title =        "Programmable Numerical Function Generators Based on
                 Quadratic Approximation",
  publisher =    "????",
  address =      "????",
  pages =        "378--383",
  year =         "2006",
  DOI =          "",
  ISBN =         "",
  ISBN-13 =      "",
  LCCN =         "",
  bibdate =      "Wed Nov 12 06:10:16 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@Article{Park:2006:DSP,
  author =       "Sang Yoon Park and Nam Ik Cho",
  title =        "Design of signed powers-of-two coefficient perfect
                 reconstruction {QMF} Bank using {CORDIC} algorithms",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "53",
  number =       "6",
  pages =        "1254--1265",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/TCSI.2006.870478",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Algorithm design and analysis; Computer architecture;
                 COordinate Rotation DIgital Computer (CORDIC); Delay
                 lines; Digital filters; Hardware; lattice filter;
                 Lattices; Mirrors; perfect reconstruction (PR);
                 quadrature mirror filter (QMF); Quantization; Signal
                 design; Signal processing algorithms; signed
                 powers-of-two (SPT)",
}

@InProceedings{Qian:2006:ACA,
  author =       "Meng Qian",
  booktitle =    "{The Proceedings of the Multiconference on
                 ``Computational Engineering in Systems
                 Applications''}",
  title =        "Application of {CORDIC} Algorithm to Neural Networks
                 {VLSI} Design",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "504--508",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/CESA.2006.4281704",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Computational modeling; Computer networks; CORDIC;
                 Field programmable gate arrays; FPGA; Network
                 synthesis; Neural networks; Neurons; Simulation;
                 Synthesis; Systems engineering and theory; Very large
                 scale integration; VHDL; VLSI",
}

@InProceedings{Rodrigues:2006:ACU,
  author =       "Terence K. Rodrigues and Earl E. Swartzlander",
  booktitle =    "{2006 Fortieth Asilomar Conference on Signals, Systems
                 and Computers}",
  title =        "Adaptive {CORDIC}: Using Parallel Angle Recoding to
                 Accelerate {CORDIC} Rotations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "323--327",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ACSSC.2006.354760",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Delay; Equations; Filters; Hardware;
                 Iterative algorithms; Lattices; Signal processing
                 algorithms; Vectors",
}

@InProceedings{Sun:2006:LPH,
  author =       "Chi-chia Sun and Benjamin Heyne and Shanq-jang Ruan
                 and Juergen Goetze",
  booktitle =    "{2006 International Symposium on VLSI Design,
                 Automation and Test}",
  title =        "A Low-Power and High-Quality {CORDIC} Based {Loeffler}
                 {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/VDAT.2006.258132",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Codecs; Computational
                 complexity; Computer architecture; Discrete cosine
                 transforms; Flow graphs; Hardware; Information
                 processing; Iterative algorithms; Signal processing
                 algorithms",
}

@InProceedings{Sung:2006:HEL,
  author =       "Tze-yun Sung and Yaw-shih Shieh and Chun-wang Yu and
                 Hsi-chin Hsin",
  booktitle =    "{2006 Seventh International Conference on Parallel and
                 Distributed Computing, Applications and Technologies
                 (PDCAT'06)}",
  title =        "High-Efficiency and Low-Power Architectures for {2-D}
                 {DCT} and {IDCT} Based on {CORDIC} Rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "191--196",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/PDCAT.2006.70",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; CORDIC; DCT; Discrete cosine transforms;
                 Energy consumption; Gold; Hardware; IDCT; Image
                 processing; Kernel; low-power; Multiplexing; Random
                 access memory; Read only memory",
}

@InProceedings{Sung:2006:HEV,
  author =       "Tze-yun Sung and Yaw-shih Shieh and Chun-wang Yu and
                 Hsi-chin Hsin",
  booktitle =    "{2006 Seventh International Conference on Parallel and
                 Distributed Computing, Applications and Technologies
                 (PDCAT'06)}",
  title =        "A High-Efficiency Vector Interpolator Using Redundant
                 {CORDIC} Arithmetic in Power-Aware 3-D Graphics
                 Rendering",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "44--49",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/PDCAT.2006.7",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "3-D vector interpolation; Algorithm design and
                 analysis; Arithmetic; CORDIC algorithm; Delay;
                 Graphics; Hardware; high-throughput.; Interpolation;
                 Pipeline processing; Redundant CORDIC arithmetic;
                 Rendering (computer graphics); Throughput; Very large
                 scale integration",
}

@Article{Valls:2006:UCS,
  author =       "J. Valls and T. Sansaloni and A. Perez-Pascual and V.
                 Torres and V. Almenar",
  title =        "The use of {CORDIC} in software defined radios: a
                 tutorial",
  journal =      j-IEEE-COMM-MAG,
  volume =       "44",
  number =       "9",
  pages =        "46--50",
  year =         "2006",
  CODEN =        "ICOMD9",
  DOI =          "https://doi.org/10.1109/MCOM.2006.1705978",
  ISSN =         "0163-6804 (print), 1558-1896 (electronic)",
  ISSN-L =       "0163-6804",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Communications Magazine",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=35",
  keywords =     "Amplitude shift keying; Application software; Digital
                 modulation; Digital signal processing; Phase
                 modulation; Signal processing algorithms; Software
                 radio; Synthesizers; Tutorial; Very large scale
                 integration",
}

@InProceedings{Wang:2006:HPF,
  author =       "Sicong Wang and Zhiping Wen and Lixin Yu",
  booktitle =    "{2006 1st International Symposium on Systems and
                 Control in Aerospace and Astronautics}",
  title =        "High-performance fault-tolerant {CORDIC} processor for
                 space applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4 pp.-363",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ISSCAA.2006.1627644",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Aerospace electronics; Delay; Digital arithmetic;
                 Fault detection; Fault tolerance; Microelectronics;
                 Satellites; Signal processing; Signal processing
                 algorithms; Space technology",
}

@Article{Wang:2006:RAM,
  author =       "Hongzhi Wang and Pierre Leray and Jacques Palicot",
  title =        "Reconfigurable architecture for {MIMO} systems based
                 on {CORDIC} operators",
  journal =      j-C-R-PHYS,
  volume =       "7",
  number =       "7",
  pages =        "735--750",
  year =         "2006",
  CODEN =        "CRPOBN",
  DOI =          "https://doi.org/10.1016/j.crhy.2006.07.018",
  ISSN =         "1631-0705 (print), 1878-1535 (electronic)",
  ISSN-L =       "1631-0705",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Towards reconfigurable and cognitive communications",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1631070506001642",
  acknowledgement = ack-nhfb,
  fjournal =     "Comptes Rendus Physique",
  keywords =     "Architecture configurable; Configurable architecture;
                 CORDIC; MIMO; V-BLAST Square Root",
}

@InProceedings{Wei:2006:NMR,
  author =       "Chen Wei and Yao Tianren and Wang Hui",
  booktitle =    "{2006 International Conference on Communications,
                 Circuits and Systems}",
  title =        "A New Method for Reduction of {PAPR} Using {CORDIC}
                 Algorithm in {WiMAX} System",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1193--1196",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICCCAS.2006.284860",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; Filtering; Hardware; Interchannel
                 interference; Microwave technology; OFDM; Partial
                 transmit sequences; Peak to average power ratio;
                 Transmitters; WiMAX",
}

@InProceedings{Wei:2006:RPV,
  author =       "R. Wei and M. H. Jin and J. J. Xia and Z. W. Xie and
                 H. Liu",
  booktitle =    "{2006 IEEE International Conference on Robotics and
                 Biomimetics}",
  title =        "Reconfigurable Parallel {VLSI} Co-Processor for Space
                 Robot Using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "374--379",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ROBIO.2006.340205",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational efficiency; Coprocessors; CORDIC;
                 Digital arithmetic; Field programmable gate arrays;
                 FPGA; Hardware; Kinematics; Orbital robotics; Parallel
                 robots; Pipeline processing; Space Robot; Very large
                 scale integration",
}

@InProceedings{Yu:2006:ECD,
  author =       "Cheng-Ying Yu and Sau-Gee Chen and Jen-Chuan Chih",
  booktitle =    "{2006 IEEE International Conference on Acoustics
                 Speech and Signal Processing Proceedings}",
  title =        "Efficient {CORDIC} Designs for Multi-Mode {OFDM}
                 {FFT}",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "III--III",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICASSP.2006.1660834",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS technology; Computer applications; Design
                 optimization; Digital video broadcasting; Energy
                 consumption; Hardware; OFDM; Power engineering and
                 energy; Power engineering computing; Table lookup",
}

@InProceedings{Yu:2006:LMC,
  author =       "Tzu-Hao Yu and Chi-Li Yu and Kai-Yuan Jheng and An-Yeu
                 Wu",
  booktitle =    "{2006 IEEE Workshop on Signal Processing Systems
                 Design and Implementation}",
  title =        "On-Line {MSR-CORDIC} {VLSI} Architecture with
                 Applications to Cost-Efficient Rotation-Based Adaptive
                 Filtering Systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "422--427",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/SIPS.2006.352620",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Computer architecture; Costs; Delay;
                 Digital signal processing; Filtering; Hardware;
                 Iterative algorithms; Maintenance engineering; Very
                 large scale integration",
}

@Article{Zhai:2006:CII,
  author =       "S. M. Zhai",
  title =        "The {CORDIC} Iterative Initial Value Selection
                 Strategy and Its Hardware Implementation",
  journal =      "journal6 (??)",
  volume =       "43",
  number =       "??",
  pages =        "79--80",
  month =        "????",
  year =         "2006",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:14:14 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Zhang:2006:LPC,
  author =       "Ruiqi Zhang and Jong Hun Han and A. T. Erdogan and T.
                 Arslan",
  booktitle =    "{2006 IEEE International Conference on Acoustics
                 Speech and Signal Processing Proceedings}",
  title =        "Low Power {CORDIC} {IP} Core Implementation",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "III--III",
  year =         "2006",
  DOI =          "https://doi.org/10.1109/ICASSP.2006.1660814",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Digital arithmetic; Digital signal processing; Signal
                 processing algorithms",
}

@InProceedings{Abche:2007:FIH,
  author =       "Antoine B. Abche and Aldo Maalouf and Rafic Ayoubi and
                 Elie Karam and A. M. Alameddine",
  booktitle =    "{2007 IEEE International Conference on Signal
                 Processing and Communications}",
  title =        "An {FPGA} Implementation of a High Resolution Phase
                 Shift Beamformer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1319--1322",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICSPC.2007.4728570",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustic scattering; Array signal processing;
                 Beamforming; Biomedical imaging; Cordic; Energy
                 resolution; Field programmable gate arrays; Focusing;
                 FPGA; Phased arrays; Signal processing algorithms;
                 Ultrasonic imaging; Ultrasound Imaging; Xilinx; Zinc",
}

@Article{Alexander:2007:IAA,
  author =       "S. W. Alexander and E. Pfann and R. W. Stewart",
  title =        "An improved algorithm for assessing the overall
                 quantisation error in {FPGA} based {CORDIC} systems
                 computing a vector magnitude",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "31",
  number =       "2",
  pages =        "87--93",
  year =         "2007",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2006.02.017",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Special Issue on FPGA-based Reconfigurable Computing
                 (2)",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933106000408",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "Arithmetic; CORDIC; FPGA; Vector magnitude",
}

@Article{Angarita:2007:EMC,
  author =       "F. Angarita and M. J. Canet and T. Sansaloni and A.
                 Perez-Pascual and J. Valls",
  title =        "Efficient Mapping of {CORDIC} Algorithm for
                 {OFDM}-Based {WLAN}",
  journal =      "Journal of Signal Processing Systems",
  volume =       "52",
  number =       "2",
  pages =        "181--191",
  month =        nov,
  year =         "2007",
  DOI =          "https://doi.org/10.1007/s11265-007-0146-6",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@InProceedings{Barrandon:2007:AHR,
  author =       "L. Barrandon and J. McCormack and T. S. Cooper and R.
                 Farrell",
  booktitle =    "{The Second European Conference on Antennas and
                 Propagation, EuCAP 2007}",
  title =        "On the Accuracy and Hardware Requirements of
                 {CORDIC}-Based Phased Array Calibration",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2007",
  DOI =          "https://doi.org/10.1049/ic.2007.1598",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "calibration; CORDIC; measurement accuracy; phased
                 array",
}

@InProceedings{Bravo:2007:ESI,
  author =       "Ignacio Bravo and Pedro Jimenez and Manuel Mazo and
                 Jose Luis Lazaro and Alfredo Gardel and Marta Marron",
  booktitle =    "{2007 IEEE International Symposium on Intelligent
                 Signal Processing}",
  title =        "Evaluation and selection of internal parameters of a
                 {CORDIC-unit} for a specific application based on
                 {FPGAS}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/WISP.2007.4447518",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; CORDIC; Digital signal processing;
                 Eigenvalues and eigenfunctions; ERROR; Field
                 programmable gate arrays; Flexible printed circuits;
                 FPGAs; Hardware; Intellectual property; Manufacturing;
                 Proposals; Vectors",
}

@Article{DeCaro:2007:MDD,
  author =       "Davide {De Caro} and Nicola Petra and Antonio Giuseppe
                 Maria Strollo",
  title =        "A {380 MHz} Direct Digital Synthesizer\slash Mixer
                 With Hybrid {CORDIC} Architecture in 0.25 $ \mu $ m
                 {CMOS}",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "42",
  number =       "1",
  pages =        "151--160",
  year =         "2007",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/JSSC.2006.886527",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "Angle rotator; Arithmetic; carry save arithmetic; CMOS
                 digital integrated circuits; CMOS integrated circuits;
                 CMOS technology; Computer architecture; Concurrent
                 computing; CORDIC algorithm; Delay; digital
                 downconverter; digital mixer; digital synthesizer;
                 digital tuner; digital upconverter; direct digital
                 frequency synthesizer; Integrated circuit synthesis;
                 mixer; modulator; overflow prevention; Paper
                 technology; quadrature modulator; rounding;
                 Synthesizers",
}

@InProceedings{El-Nahas:2007:DIC,
  author =       "Sharaf El-Din El-Nahas and Ammar Mottie {Al Hosainy}
                 and Magdy M. Saeb",
  booktitle =    "{2007 National Radio Science Conference}",
  title =        "Design and Implementation of Cosine Transforms
                 Employing a {CORDIC} Processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/NRSC.2007.371367",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Design engineering; Difference equations; Digital
                 signal processing; Discrete cosine transforms; Field
                 programmable gate arrays; Iterative algorithms; Table
                 lookup",
}

@InProceedings{Garrido:2007:EMC,
  author =       "M. Garrido and J. Grajal",
  booktitle =    "{2007 IEEE International Conference on Acoustics,
                 Speech and Signal Processing --- ICASSP '07}",
  title =        "Efficient Memoryless {CORDIC} for {FFT} Computation",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "II-113--II-116",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICASSP.2007.366185",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Counting circuits; Delay; Discrete Fourier transforms;
                 Flexible printed circuits; Memoryless systems; Pipeline
                 processing; Real time systems; Signal processing;
                 Signal processing algorithms; Throughput; Very large
                 scale integration; Very-large-scale integration",
}

@InProceedings{Gomes:2007:CBQ,
  author =       "Jo{\~a}o Gomes and Victor Barroso",
  booktitle =    "{2007 15th European Signal Processing Conference,
                 03--07 September 2007, Poznan, Poland}",
  title =        "A {CORDIC}-Based {QR-RLS} multichannel lattice
                 filter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1043--1047",
  year =         "2007",
  ISBN =         "83-921340-4-4",
  ISBN-13 =      "978-83-921340-4-6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7098963/",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; Europe; Hardware; Kalman filters; Lattices;
                 Signal processing algorithms",
}

@Article{Granado:2007:OCC,
  author =       "J. Granado and A. Torralba and J. Ch{\'a}vez and V.
                 Baena-Lecuyer",
  title =        "Optimization of {CORDIC} cells in the backward
                 circular rotation mode",
  journal =      "AEU - International Journal of Electronics and
                 Communications",
  volume =       "61",
  number =       "5",
  pages =        "337--340",
  year =         "2007",
  DOI =          "https://doi.org/10.1016/j.aeue.2006.05.007",
  ISSN =         "1434-8411",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1434841106000732",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit design; CORDIC algorithm; Digital signal
                 processing",
}

@InProceedings{Heyne:2007:ECB,
  author =       "Benjamin Heyne and Jurgen Gotze",
  booktitle =    "{2007 IEEE International Symposium on Signal
                 Processing and Information Technology}",
  title =        "Efficient {CORDIC} Based Equalizer For {STTD} Encoded
                 {MIMO} {CDMA} Systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "437--442",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ISSPIT.2007.4458105",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antennas and propagation; AWGN; Downlink; Equalizers;
                 Least squares methods; MIMO; Multiaccess communication;
                 Multiuser detection; Signal processing; Vectors",
}

@InProceedings{Jablonski:2007:LLI,
  author =       "G. Jablonski and K. Przygoda",
  booktitle =    "{2007 14th International Conference on Mixed Design of
                 Integrated Circuits and Systems}",
  title =        "Low-Latency Implementation of Coordinate Conversion in
                 Virtex {II} pro {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "120--123",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/MIXDES.2007.4286132",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arcus tangent; Computer arithmetic; Computer science;
                 CORDIC; Cosine; Field programmable gate arrays; FPGA;
                 Microelectronics; Sine; Square root",
}

@InProceedings{Kandeepan:2007:CEB,
  author =       "Sithamparanathan Kandeepan and Omar Hashmi and Qian
                 Zheng",
  booktitle =    "{2007 6th International Conference on Information,
                 Communications \& Signal Processing}",
  title =        "A complex-envelope based digital phase locked loop
                 with an arctan phase detector implemented on {FPGA} and
                 performance analysis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICICS.2007.4449626",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "arctan; CORDIC; D-PLL; Detectors; Field programmable
                 gate arrays; FPGA; Performance analysis; Phase
                 detection; Phase Detector; Phase locked loops; Phased
                 arrays; Sensor arrays; Signal detection; Software
                 radio; System testing",
}

@Article{Karabernou:2007:ERT,
  author =       "Si Mahmoud Karabernou and Lounis Kessal and
                 Fay{\c{c}}al Terranti",
  title =        "Erratum to {``Real-time FPGA implementation of Hough
                 Transform using gradient and CORDIC algorithm'' [Image
                 and Vision Computing 23 (2005) 1009--1017]}",
  journal =      j-IMAGE-VIS-COMPUT,
  volume =       "25",
  number =       "6",
  pages =        "1032",
  year =         "2007",
  CODEN =        "IVCODK",
  DOI =          "https://doi.org/10.1016/j.imavis.2006.06.006",
  ISSN =         "0262-8856 (print), 1872-8138 (electronic)",
  ISSN-L =       "0262-8856",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0262885606001831",
  acknowledgement = ack-nhfb,
  fjournal =     "Image and Vision Computing",
}

@InProceedings{Kim:2007:LPC,
  author =       "Young Bok Kim and Yong-Bin Kim and James T. Doyle",
  booktitle =    "{2007 50th Midwest Symposium on Circuits and
                 Systems}",
  title =        "A low power {CMOS} {CORDIC} processor design for
                 wireless telecommunication",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1336--1339",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/MWSCAS.2007.4488797",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CMOS process; CMOS technology; Complexity
                 theory; Energy consumption; Integrated circuit
                 technology; Power supplies; Process design;
                 Telecommunication computing; Wire",
}

@InProceedings{Liu:2007:ADL,
  author =       "Guihua Liu and Quanyuan Feng",
  booktitle =    "{2007 7th International Conference on ASIC}",
  title =        "{ASIC} design of low-power reconfigurable {FFT}
                 processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "44--47",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICASIC.2007.4415563",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; ASIC;
                 Computer architecture; CORDIC algorithm; Delay; Energy
                 consumption; FFT (Fast Fourier Transform) processor;
                 Hardware; Libraries; Optimization methods; Pipeline;
                 Pipelines; Signal design; Signal processing",
}

@InProceedings{Liu:2007:PFI,
  author =       "Jie Liu and Zhi Quan and Yuriy Zakharov",
  booktitle =    "{2007 15th International Conference on Digital Signal
                 Processing}",
  title =        "Parallel {FPGA} Implementation of {DCD} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "331--334",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICDSP.2007.4288586",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Antenna arrays; Array
                 signal processing; CORDIC; DCD; Equations; Field
                 programmable gate arrays; FPGA; Hardware; Iterative
                 algorithms; multiplication-free; MVDR; normal
                 equations; parallel design; Read-write memory; Signal
                 processing algorithms; Throughput",
}

@InProceedings{Perwaiz:2007:BSC,
  author =       "Aqib Perwaiz and Shoab A. Khan",
  booktitle =    "{2007 Australasian Telecommunication Networks and
                 Applications Conference}",
  title =        "Bit serial {CORDIC} {DDFS} design for serial digital
                 down converter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "298--302",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ATNAC.2007.4665273",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Computer architecture; Computer networks; coordinate
                 rotation digital computer (CORDIC); Design engineering;
                 digital down converter (DDC); digital receiver; Direct
                 digital frequency synthesizer (DDFS); direct digital
                 frequency synthesizer multirate signal processing;
                 Finite impulse response filter; Polynomials; polyphase
                 decimation; Signal processing algorithms; Silicon
                 compounds; Telecommunication computing",
}

@PhdThesis{Rodrigues:2007:ACU,
  author =       "Terence Keith Rodrigues",
  title =        "Adaptive {CORDIC}: Using parallel angle recoding to
                 accelerate {CORDIC} rotations",
  type =         "{Ph.D.} thesis",
  school =       "The University of Texas at Austin",
  address =      "Austin, TX, USA",
  pages =        "150",
  year =         "2007",
  ISBN =         "0-549-38906-7",
  ISBN-13 =      "978-0-549-38906-4",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/adaptive-cordic-using-parallel-angle-recoding/docview/304793675/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Swartzlander, Earl E., Jr.",
  keywords =     "0544:Electrical engineering; Applied sciences;
                 Electrical engineering; Parallel angle recoding;
                 {CORDIC} rotations",
  ris-m1 =       "3291736",
}

@InProceedings{Sanchez:2007:CBA,
  author =       "Jose L. Sanchez and Antonio Jimeno and Higinio Mora
                 and Jeronimo Mora and Francisco Pujol",
  booktitle =    "{2007 IEEE International Symposium on Industrial
                 Electronics}",
  title =        "A {CORDIC}-based Architecture for High Performance
                 Decimal Calculations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1951--1956",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ISIE.2007.4374906",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer aided manufacturing;
                 Computer architecture; Digital arithmetic; Field
                 programmable gate arrays; Hardware; High performance
                 computing; Humans; Iterative algorithms; Vectors",
}

@Article{Sansaloni:2007:FSA,
  author =       "Trini Sansaloni and Asun Perez-Pascual and Vicente
                 Torres and Vicen{\c{C}} Almenar and Jos{\'E} F. Toledo
                 and Javier Valls",
  title =        "{FFT} Spectrum Analyzer Project for Teaching Digital
                 Signal Processing With {FPGA} Devices",
  journal =      j-IEEE-TRANS-EDUC,
  volume =       "50",
  number =       "3",
  pages =        "229--235",
  year =         "2007",
  CODEN =        "IEEDAB",
  DOI =          "https://doi.org/10.1109/TE.2007.900025",
  ISSN =         "0018-9359 (print), 1557-9638 (electronic)",
  ISSN-L =       "0018-9359",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Education",
  keywords =     "Algorithm design and analysis; Arithmetic; coordinate
                 rotation digital computer (CORDIC); decimate filters;
                 digital circuits; Digital filters; Digital signal
                 processing; digital signal processing (DSP ); direct
                 digital synthesis (DDS); fast Fourier transform (FFT);
                 Field programmable gate arrays; field-programmable gate
                 arrays (FPGA) implementation; Finite impulse response
                 filter; IIR filters; logic design; mixer; real-time
                 applications; signal processing; spectrum analyzer;
                 windowing",
}

@InProceedings{Sathyanarayana:2007:UCB,
  author =       "Suchitra Sathyanarayana and Ravi Kumar Satzoda and
                 Srikanthan Thambipillai",
  booktitle =    "{2007 15th International Conference on Digital Signal
                 Processing}",
  title =        "Unified {CORDIC} Based Processor for Image
                 Processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "343--346",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICDSP.2007.4288589",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Discrete cosine
                 transforms; Embedded system; Engines; Equations;
                 Frequency; Image enhancement; Image processing; Pixel;
                 Signal processing algorithms",
}

@InProceedings{Seetharaman:2007:SIW,
  author =       "G. Seetharaman and B. Venkataramani",
  booktitle =    "{2007 International Conference on Field-Programmable
                 Technology}",
  title =        "{SOC} implementation of wave-pipelined circuits",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "9--16",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/FPT.2007.4439226",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Automation; Clocks; Combinational circuits; CORDIC;
                 DAA; Digital circuits; Field programmable gate arrays;
                 Filters; FPGA; Frequency; Latches; pipelining; Power
                 dissipation; Proposals; SOC; wave-pipelining",
}

@InProceedings{Seki:2007:CBR,
  author =       "Katsutoshi Seki and Tomoyoshi Kobori and James Okello
                 and Masao Ikekawa",
  booktitle =    "{2007 IEEE Workshop on Signal Processing Systems}",
  title =        "A {CORDIC}-Based Reconfigurable Systolic Array
                 Processor for {MIMO-OFDM} Wireless Communications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "639--644",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/SIPS.2007.4387624",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Baseband; Computer architecture; Hardware;
                 Interleaved codes; Iterative algorithms; MIMO; Phased
                 arrays; Systolic arrays; Wireless communication",
}

@InProceedings{Sima:2007:ERS,
  author =       "Mihai Sima and Michael McGuire",
  booktitle =    "{2007 IEEE Workshop on Signal Processing Systems}",
  title =        "Embedded Reconfigurable Solution for {OFDM} Detection
                 Over Fast Fading Radio Channels",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "13--18",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/SIPS.2007.4387509",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational efficiency; CORDIC; Demodulation;
                 Fading; fast fading; Fixed-point arithmetic; Hardware;
                 Matrix decomposition; OFDM; Pipelines; QR
                 decomposition; Registers; Software radio;
                 Software-defined radio",
}

@InProceedings{Sinha:2007:FPC,
  author =       "Pavel Sinha and M. N. S. Swamy and P. K. Meher",
  booktitle =    "{2007 50th Midwest Symposium on Circuits and
                 Systems}",
  title =        "Fully-pipelined {CORDIC} implementation of
                 subspace-based speech enhancement",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "97--100",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/MWSCAS.2007.4488546",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Covariance matrix; Distortion
                 measurement; Field programmable gate arrays; Jacobian
                 matrices; Matrix decomposition; Noise level; Signal to
                 noise ratio; Speech enhancement; Symmetric matrices",
}

@InProceedings{Stapenhurst:2007:HRZ,
  author =       "R. Stapenhurst and K. Maharatna and J. Mathew and J.
                 L. Nunez-Yanez and D. K. Pradhan",
  booktitle =    "{2007 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "On the Hardware Reduction of z-Datapath of Vectoring
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3002--3005",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ISCAS.2007.377978",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; CMOS process; Computer architecture;
                 Digital signal processing; Energy consumption;
                 Hardware; Iterative algorithms; Libraries; Power
                 generation economics; Registers",
}

@InProceedings{Wei:2007:NCD,
  author =       "Xueming Wei and Shengyuan Zhou",
  booktitle =    "{2007 International Conference on Communications,
                 Circuits and Systems}",
  title =        "A Novel Circuit Design Based {CORDIC} for {QAM}
                 Modulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1197--1199",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICCCAS.2007.4348261",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Circuit synthesis; Clocks; Digital
                 modulation; Educational institutions; Energy
                 consumption; Filters; Quadrature amplitude modulation;
                 Satellite broadcasting; Satellite communication",
}

@InProceedings{Xin:2007:AOD,
  author =       "Ru Xin and Xiao-tong Zhang and Han Li and Qin Wang and
                 Zhan-cai Li",
  booktitle =    "{2007 3rd International Workshop on Signal Design and
                 Its Applications in Communications}",
  title =        "An Area Optimized Direct Digital Frequency Synthesizer
                 Based on Improved Hybrid {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "243--246",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/IWSDA.2007.4408368",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; area optimized; Circuits; direct digital
                 frequency synthesizer (DDFS); Frequency synthesizers;
                 Hardware; HDTV; improved hybrid CORDIC; Iterative
                 algorithms; Network synthesis; Pipelines; soft-hardware
                 co-design; Very large scale integration; Wireless
                 sensor networks",
}

@InProceedings{Yu:2007:FPP,
  author =       "Chi-Li Yu and Tzu-Hao Yu and An-Yeu Wu",
  booktitle =    "{2007 IEEE Workshop on Signal Processing Systems}",
  title =        "On the Fixed-Point Properties of
                 Mixed-Scaling-Rotation {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "430--435",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/SIPS.2007.4387586",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Cost function; Delay; Design optimization; Digital
                 signal processing; Hardware; Iterative algorithms;
                 Matrix decomposition; Quantization",
}

@InProceedings{Yutai:2007:ADB,
  author =       "Wang Yutai and Li Nianqiang and Zhang Lu",
  booktitle =    "{2007 8th International Conference on Electronic
                 Measurement and Instruments}",
  title =        "Application of {DDS} Based on {DSP} in Rubidium Atomic
                 Frequency Standard",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4--908--4--912",
  year =         "2007",
  DOI =          "https://doi.org/10.1109/ICEMI.2007.4351291",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Atomic clocks; Atomic measurements; Circuits; CORDIC
                 algorithm; Costs; Digital signal processing; direct
                 digital frequency synthesis; Frequency measurement;
                 Frequency modulation; Frequency synthesizers; Rubidium
                 atomic frequency standard; Stability; stability;
                 Temperature",
}

@InProceedings{Alim:2008:FIO,
  author =       "Onsy Abdel Alim and Nemat Elboghdadly and Mahmoud A.
                 Ashour and Azza M. Elaskary",
  booktitle =    "{2008 International Conference on Computer Engineering
                 \& Systems}",
  title =        "{FPGA} implementation for an optimized {CORDIC} module
                 for {OFDM} system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "21--26",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICCES.2008.4772959",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Circuit testing; Complexity
                 theory; Cost function; Field programmable gate arrays;
                 Hardware; OFDM; Prototypes; Research and development;
                 Timing",
}

@InProceedings{Amruta:2008:LLH,
  author =       "Gadgil Amruta and Parthe Yogita and Pathak Puja and P.
                 V. Sriniwas Shastry",
  booktitle =    "{2008 15th IEEE International Conference on
                 Electronics, Circuits and Systems}",
  title =        "Low latency and high accuracy architectures of
                 {CORDIC} algorithm for cosine calculation on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "478--481",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICECS.2008.4674894",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "algorithm; Communications technology; CORDIC; cosine;
                 DCT; Delay; DFT; Digital communication; Digital signal
                 processing; Discrete cosine transforms; DSP;
                 Educational institutions; Equations; FFT; Field
                 programmable gate arrays; FPGA; Hardware; hardware
                 efficient; Signal processing algorithms; sine;
                 trigonometric functions",
}

@InProceedings{Amudha:2008:FII,
  author =       "V. Amudha and B. Venkataramani and J. Manikandan",
  booktitle =    "{2008 International Conference on Electronic Design}",
  title =        "{FPGA} implementation of isolated digit recognition
                 system using modified back propagation algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICED.2008.4786712",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Back propagation; CORDIC processor; Field programmable
                 gate arrays; Hardware/software partitioning; soft-core
                 processor; SOPC; speech recognition",
}

@Article{Antelo:2008:LLP,
  author =       "Elisardo Antelo and Julio Villalba and Emilio L.
                 Zapata",
  title =        "A Low-Latency Pipelined {2D} and {3D} {CORDIC}
                 Processors",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "57",
  number =       "3",
  pages =        "404--417",
  month =        mar,
  year =         "2008",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2007.70796",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "99-03",
  MRnumber =     "2418427",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Algorithm design and analysis; Algorithms;
                 Approximation algorithms; Arithmetic and Logic
                 Structures; Computer architecture; Computer arithmetic;
                 Convergence; High-Speed Arithmetic; Linear
                 approximation; Matrices; Program processors; Signal
                 processing algorithms; Speech processing; Three
                 dimensional displays",
}

@PhdThesis{Augustine:2008:WNM,
  author =       "Jonathan James Augustine",
  title =        "Weaving the net: Missional synergy through {CORDIC}
                 connectivity",
  type =         "{D.Min.} thesis",
  school =       "Drew University",
  address =      "Madison, NJ, USA",
  pages =        "150",
  year =         "2008",
  ISBN =         "0-549-55396-7",
  ISBN-13 =      "978-0-549-55396-0",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/weaving-net-missional-synergy-through-cordic/docview/304656458/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "A. Christopher Hammon and Vicki L. Hollon",
  keywords =     "0319:Clergy; 0344:Social research; 0469:Theology;
                 Apostolic; Clergy; Ministry networking; Missional
                 leadership; Missions paradigm; Philosophy, religion and
                 theology; Social research; Social sciences; Theology;
                 Transformational leadership; {CORDIC} connectivity",
  ris-m1 =       "3308637",
}

@InProceedings{Bhattacharyya:2008:CTP,
  author =       "Kaushik Bhattacharyya and Swapna Banerjee",
  booktitle =    "{TENCON 2008 --- 2008 IEEE Region 10 Conference}",
  title =        "Computation of two {$N$}-point {IDCT}'s via a single
                 {$N$}-point {IFFT} using radix-4 algorithm \& its
                 proposed architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TENCON.2008.4766790",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Concurrent computing; CORDIC;
                 Discrete cosine transforms; Frequency domain analysis;
                 IDCT; IFFT; Image processing; Indexing; Parallel
                 processing; Pipeline processing; Proposed Architecture;
                 radix-4; real data; Signal processing; Signal
                 processing algorithms",
}

@InProceedings{Boher:2008:ACB,
  author =       "Laurent Boher and Rodrigue Rabineau and Maryline
                 Helard",
  booktitle =    "{2008 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Analysis of {CORDIC}-based triangularization for
                 {MIMO} {MMSE} filtering",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "948--951",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ISCAS.2008.4541576",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; Equalizers; Field
                 programmable gate arrays; Filtering; FPGA hardware
                 implementation; Hardware; Iterative algorithms; Matrix
                 decomposition; MIMO; MIMO equalization; Nonlinear
                 filters",
}

@Article{Bravo:2008:NHA,
  author =       "Ignacio Bravo and Manuel Mazo and Jos{\'E} Luis Lazaro
                 and Pedro Jimenez and Alfredo Gardel and Marta Marron",
  title =        "Novel {HW} Architecture Based on {FPGAs} Oriented to
                 Solve the Eigen Problem",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "16",
  number =       "12",
  pages =        "1722--1725",
  year =         "2008",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2008.2001939",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Application software; Computer architecture; CORDIC;
                 Covariance matrix; eigenvalue; Eigenvalues and
                 eigenfunctions; eigenvector; Field programmable gate
                 arrays; field-programmable gate array (FPGA); Hardware;
                 Jacobian matrices; Matrix decomposition; Principal
                 component analysis; Proposals",
}

@InProceedings{Coyne:2008:FBC,
  author =       "Jack Coyne and David Cyganski and R. James Duckworth",
  booktitle =    "{2008 16th International Symposium on
                 Field-Programmable Custom Computing Machines}",
  title =        "{FPGA}-based Co-processor for Singular Value Array
                 Reconciliation Tomography",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "163--172",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/FCCM.2008.35",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Array; Clustering algorithms;
                 co-processor; Computer architecture; Coprocessors;
                 CORDIC; Field programmable gate arrays; FPGA; Hardware;
                 Location; Parallel processing; QR decomposition; Radio
                 frequency; SART; singular value; SVD; Throughput;
                 Tomography; Tracking; Virtex",
}

@InCollection{Dick:2008:CCA,
  author =       "Chris Dick",
  editor =       "Scott Hauck and Andr{\'e} Dehon",
  booktitle =    "Reconfigurable Computing",
  title =        "{CORDIC} Architectures for {FPGA} Computing",
  chapter =      "25",
  publisher =    "Morgan Kaufmann",
  address =      "Burlington",
  pages =        "513--537",
  year =         "2008",
  DOI =          "https://doi.org/10.1016/B978-012370522-8.50033-9",
  ISSN =         "18759661",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  series =       "Systems on Silicon",
  URL =          "https://www.sciencedirect.com/science/article/pii/B9780123705228500339",
  acknowledgement = ack-nhfb,
}

@InProceedings{Gan:2008:NCA,
  author =       "Xin-biao Gan and Kui Dai and Li-bo Huang and Li Shen
                 and Zhi-ying Wang",
  booktitle =    "{2008 International Conference on Multimedia and
                 Ubiquitous Engineering (mue 2008)}",
  title =        "A New {CORDIC} Algorithm and Software Implementation
                 Based on Synchronized Data Triggering Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "83--86",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/MUE.2008.40",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Computer science; Data engineering; Gallium nitride;
                 Libraries; Mathematics; Neurons; Software algorithms;
                 Testing",
}

@Book{Heyne:2008:ECB,
  author =       "Benjamin Heyne",
  title =        "Efficient {CORDIC} based implementation of selected
                 signal processing algorithms",
  publisher =    "Aachen: Shaker Verlag; Dortmund: Univ. Dortmund,
                 Fakult{\"a}t f{\"u}r Elektrotechnik und
                 Informationstechnik (Diss.)",
  year =         "2008",
  ISBN =         "3-8322-7746-3",
  ISBN-13 =      "978-3-8322-7746-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  series =       "Ber. Inf.tech.",
  URL =          "www.shaker.de/de/content/catalogue/index.asp?lang=de&ID=8&ISBN=978-3-8322-7746-8",
  ZMnumber =     "1154.94301",
  acknowledgement = ack-nhfb,
  fseries =      "Berichte aus der Informationstechnik",
  keywords =     "94-02,94Axx",
  ZBmath =       "5485976",
}

@InProceedings{Hsiao:2008:EDF,
  author =       "Shen-Fu Hsiao and Hsin-Mau Lee and Yen-Chun Cheng and
                 Ming-Yu Tsai",
  booktitle =    "{APCCAS 2008 --- 2008 IEEE Asia Pacific Conference on
                 Circuits and Systems}",
  title =        "Efficient designs of floating-point {CORDIC} rotation
                 and vectoring operations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1422--1425",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/APCCAS.2008.4746297",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Computer science; Costs; Delay;
                 Design engineering; Fixed-point arithmetic;
                 Floating-point arithmetic; Graphics; High performance
                 computing; Process design",
}

@InProceedings{Jayshankar:2008:ECD,
  author =       "Jayshankar",
  booktitle =    "{TENCON 2008 --- 2008 IEEE Region 10 Conference}",
  title =        "Efficient computation of the {DFT} of a {2N} --- point
                 real sequence using {FFT} with {CORDIC} based
                 butterflies",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TENCON.2008.4766592",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Difference equations;
                 Discrete Fourier transforms; Fast Fourier transforms;
                 Fourier transforms; Frequency; Performance analysis;
                 Read only memory; Signal analysis; Signal to noise
                 ratio",
}

@Article{Jimeno:2008:BBA,
  author =       "Antonio Jimeno and Higinio Mora and Jose L. Sanchez
                 and Francisco Pujol",
  title =        "A {BCD}-based architecture for fast coordinate
                 rotation",
  journal =      j-J-SYST-ARCH,
  volume =       "54",
  number =       "8",
  pages =        "829--840",
  year =         "2008",
  CODEN =        "JSARFB",
  DOI =          "https://doi.org/10.1016/j.sysarc.2008.02.001",
  ISSN =         "1383-7621 (print), 1873-6165 (electronic)",
  ISSN-L =       "1383-7621",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1383762108000325",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Systems Architecture",
  journal-URL =  "https://www.sciencedirect.com/journal/journal-of-systems-architecture",
  keywords =     "Binary coded decimals; Computer performance; CORDIC;
                 Digital arithmetic",
}

@Article{Juang:2008:LLA,
  author =       "Tso-Bing Juang",
  title =        "Low Latency Angle Recoding Methods for the Higher
                 Bit-Width Parallel {CORDIC} Rotator Implementations",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "55",
  number =       "11",
  pages =        "1139--1143",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TCSII.2008.2002566",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "CMOS technology; Concurrent computing; Coordinate
                 rotation digital computer (CORDIC); Delay; Digital
                 signal processing; Large scale integration; Matrix
                 decomposition; Signal design; signal processing; Signal
                 processing algorithms; Very large scale integration;
                 very large-scale integration (VLSI) design",
}

@InProceedings{Kambalapally:2008:CIS,
  author =       "Prashanth Reddy Kambalapally and Donald S. Zinger",
  booktitle =    "{2008 IEEE Industry Applications Society Annual
                 Meeting}",
  title =        "{CORDIC} Implementation of Space Vector Modulation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/08IAS.2008.179",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AC motors; Frequency; Microcontrollers; Phase
                 modulation; Pulse width modulation; Pulse width
                 modulation inverters; Support vector machines;
                 Switches; Table lookup; Voltage",
}

@InProceedings{Lakshmi:2008:HSA,
  author =       "B. Lakshmi and A. S. Dhar",
  booktitle =    "{TENCON 2008 --- 2008 IEEE Region 10 Conference}",
  title =        "High speed architectural implementation of {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TENCON.2008.4766767",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; CORDIC algorithm;
                 Costs; Delay; Design engineering; Electronic mail;
                 Iterative algorithms; radix-4; redundant arithmetic;
                 Registers; rotation mode; Signal processing algorithms;
                 Variable speed drives",
}

@InProceedings{Lakshmi:2008:LLV,
  author =       "B. Lakshmi and A. S. Dhar",
  booktitle =    "{2008 IEEE Region 10 and the Third international
                 Conference on Industrial and Information Systems}",
  title =        "Low Latency {VLSI} Architecture for the Radix-4
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICIINFS.2008.4798377",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Computer architecture; CORDIC algorithm;
                 Delay; Hardware; Iterative algorithms; radix-4;
                 redundant arithmetic; Region 10; rotation mode;
                 Sections; Signal processing algorithms; Throughput;
                 Very large scale integration",
}

@InProceedings{Laulainen:2008:CTC,
  author =       "Erkka Laulainen and Lauri Koskinen and Marko Kosunen
                 and Kari Halonen",
  booktitle =    "{2008 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Compass tilt compensation algorithm using {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1188--1191",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ISCAS.2008.4541636",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Accelerometers; Algorithm design and
                 analysis; Clocks; Compass; CORDIC; Costs; Electronic
                 circuits; Field programmable gate arrays; Magnetic
                 sensors; Navigation; Solid state circuits; Tilt
                 Compensation",
}

@InProceedings{Maharatna:2008:RDC,
  author =       "Koushik Maharatna and Karim El-Shabrawy and Bashir
                 Al-Hashimi",
  booktitle =    "{2008 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Reduced {$Z$}-datapath {CORDIC} Rotator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3374--3377",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ISCAS.2008.4542182",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; computer arithmetic;
                 Concurrent computing; CORDIC; Digital arithmetic;
                 Digital signal processing; Economic forecasting;
                 Hardware; Iterative algorithms; Logic; low-power; Power
                 generation economics; Silicon",
}

@InProceedings{Meena:2008:FBR,
  author =       "D. Meena and L. G. M. Prakasam",
  booktitle =    "{4th IEEE International Symposium on Electronic
                 Design, Test and Applications (delta 2008)}",
  title =        "{FPGA} Based Real Time Solution for Sensitivity Time
                 Control",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "244--248",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/DELTA.2008.87",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Attenuation; Attenuators; Clutter; CORDIC; Dynamic
                 range; Electronic equipment testing; Field programmable
                 gate arrays; FPGA; Gain control; Radar applications;
                 Radar signal processing; Sensitivity Time Control;
                 Timing",
}

@InProceedings{Miller:2008:TFS,
  author =       "Scott Miller and Mihai Sima and Michael McGuire",
  booktitle =    "{2008 42nd Asilomar Conference on Signals, Systems and
                 Computers}",
  title =        "Transcedental functions on a shift-enabled
                 reconfigurable device: {CORDIC} as a case-study",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1795--1799",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ACSSC.2008.5074736",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Costs;
                 Delay; Field programmable gate arrays; Flexible printed
                 circuits; Hardware; Iterative algorithms;
                 Multiprocessor interconnection networks; Signal
                 processing algorithms; Tiles",
}

@InProceedings{Mingwei:2008:ASF,
  author =       "Li Mingwei and Mao Zhen and Sui Yongsheng",
  booktitle =    "{2008 Chinese Control and Decision Conference}",
  title =        "Angular solution to {FPGA}-based high speed synchro",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1772--1776",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/CCDC.2008.4597627",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; angle mapping; Computer architecture; CORDIC
                 algorithm; Equations; Field programmable gate arrays;
                 FPGA; Hardware; Registers; Rotors; synchro",
}

@InProceedings{Naguil:2008:MHC,
  author =       "J. L. Naguil and E. N. Pereyra Toledo and W. J. D.
                 Cova",
  booktitle =    "{2008 IEEE/PES Transmission and Distribution
                 Conference and Exposition: Latin America}",
  title =        "Measurement of the harmonic contents of electric
                 signals using {FPGA} and switched capacitor filters",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TDC-LA.2008.4641813",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude harmonic distortion; Band pass filters;
                 Capacitors; CORDIC algorithm; Distortion measurement;
                 Field programmable gate arrays; FPGA; Goertzel
                 transform; Harmonic analysis; Power harmonic filters;
                 Semiconductor device measurement; switched capacitor
                 filters",
}

@InProceedings{Palanisamy:2008:ERC,
  author =       "P. Palanisamy and R. Thilagavathy and M. Ratheesh
                 Kumar and A. Srihari",
  booktitle =    "{2008 International Conference on Signal Processing,
                 Communications and Networking}",
  title =        "Efficient Realization of {CORDIC} based {LDPC} Decoder
                 for {WiMax} System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "41--45",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICSCN.2008.4447158",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Decoding; Disk drives; Encoding; Hardware design
                 languages; ISO standards; Libraries; Parity check
                 codes; Table lookup; WiMAX; Wireless communication",
}

@InProceedings{Parfieniuk:2008:SCP,
  author =       "Marek Parfieniuk",
  booktitle =    "{2008 International Conference on Signals and
                 Electronic Systems}",
  title =        "Shortening the critical path in {CORDIC}-based
                 approximations of the eight-point {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "405--408",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICSES.2008.4673449",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Circuits; Computer science; CORDIC; critical
                 path; DCT; Discrete Cosine Transform; Discrete cosine
                 transforms; Filter bank; fixed-point implementation;
                 Flow graphs; Hardware; Image coding; Real time systems;
                 Transmission line matrix methods",
}

@InProceedings{Sanchez:2008:AII,
  author =       "Jose-Luis Sanchez and Higinio Mora and Jeronimo Mora
                 and Antonio Jimeno",
  booktitle =    "{2008 IEEE International Conference on Computer
                 Design}",
  title =        "Architecture implementation of an improved decimal
                 {CORDIC} method",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "95--100",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICCD.2008.4751846",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer aided manufacturing;
                 Computer architecture; Digital arithmetic; Equations;
                 Field programmable gate arrays; Hardware; Iterative
                 algorithms; Manufacturing processes; Microprocessors",
}

@InProceedings{Shehata:2008:FBB,
  author =       "Khaled A. Shehata and Mohamed A. Aboul-Dahab and Salwa
                 H. {El Ramly} and Karim A. Hamouda",
  booktitle =    "{2008 2nd International Conference on Signals,
                 Circuits and Systems}",
  title =        "An {FPGA} based 1-bit all digital transmitter
                 employing Delta-Sigma Modulation with {RF} output for
                 {SDR}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICSCS.2008.4746928",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "All Digital RF Transmitter; CIC filter; Communication
                 industry; Computer architecture; Computer industry;
                 CORDIC; Delta-sigma modulation; Digital filters; Field
                 programmable gate arrays; low pass Delta Sigma
                 Modulator; Radio frequency; Radio transmitters; SDR;
                 Signal synthesis; Software radio",
}

@InProceedings{Sima:2008:RAT,
  author =       "Mihai Sima and Michael McGuire and Scott Miller",
  booktitle =    "Proceedings of the {2005 International Conference on
                 Engineering of Reconfigurable Systems and Algorithms
                 (ERSA 2005)}",
  title =        "Reconfigurable Array for Transcendental Functions
                 Calculation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "49--56",
  month =        dec,
  year =         "2008",
  DOI =          "https://doi.org/10.1109/fpt.2008.4762365",
  bibdate =      "Mon Nov 10 06:57:23 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
  keywords =     "acos; asin; cosine; exp; log; sine; sqrt",
}

@Article{Sumanasena:2008:SFC,
  author =       "M. G. Buddika Sumanasena",
  title =        "A Scale Factor Correction Scheme for the {CORDIC}
                 Algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "57",
  number =       "8",
  pages =        "1148--1152",
  month =        aug,
  year =         "2008",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2008.41",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "33F10 (33B10)",
  MRnumber =     "2447108",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1390.33042",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "33F10,33B10; Adders; Approximation algorithms; Clocks;
                 Computer arithmetic; Hardware; Numerical algorithms;
                 Quantization (signal); Standards; Upper bound",
  ZBmath =       "6885744",
}

@InProceedings{Terauds:2008:CFP,
  author =       "Maris Terauds and Gatis Valters and Uldis Derums and
                 Nauris Vasilevskis and Peteris Misans",
  booktitle =    "{2008 NORCHIP}",
  title =        "Comparison of Fixed-Point Arithmetic Errors for the
                 {FPGA}-based {CRAIMOT} Basis Function Generators",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "108--113",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/NORCHP.2008.4738293",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC algorithm;
                 Discrete cosine transforms; Error analysis; Field
                 programmable gate arrays; Fixed-point arithmetic;
                 Fixed-point errors; FPGA; Generation of basis
                 functions; Interpolation; Quantization; Signal
                 generators; Signal synthesis; Speech synthesis",
}

@Article{Troya:2008:LPV,
  author =       "Alfonso Troya and Koushik Maharatna and Milos Krstic
                 and Eckhard Grass and Ulrich Jagdhold and Rolf
                 Kraemer",
  title =        "Low-Power {VLSI} Implementation of the Inner Receiver
                 for {OFDM}-based {WLAN} Systems",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "55",
  number =       "2",
  pages =        "672--686",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/TCSI.2007.913732",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Circuit synthesis; Clocks; Computer architecture;
                 Coordinate rotation digital computer (CORDIC); CORDIC;
                 Delay; fast Fourier transform (FFT); Fast Fourier
                 transforms; FFT; Hardware; OFDM; orthogonal
                 frequency-division multiplexing (OFDM); Signal
                 processing algorithms; Synchronization; Very large
                 scale integration; Wireless LAN; wireless local area
                 network (WLAN); WLAN",
}

@InProceedings{Tsai:2008:CAH,
  author =       "Tsung Han Tsai and Chia-Hao Yeh and Yu-Jung Huang",
  booktitle =    "{APCCAS 2008 --- 2008 IEEE Asia Pacific Conference on
                 Circuits and Systems}",
  title =        "{CORDIC} architecture for {Hough Transform}
                 applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "442--445",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/APCCAS.2008.4746055",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational modeling; Computer architecture;
                 Cyclones; Field programmable gate arrays; Filtering;
                 Floating-point arithmetic; Image converters; Image
                 enhancement; Layout; Object detection",
}

@InProceedings{Yao:2008:RTI,
  author =       "Bin Yao and Haisen Li and Tian Zhou and Baowei Chen
                 and Haixin Yu",
  booktitle =    "{2008 Fifth IEEE International Symposium on Embedded
                 Computing}",
  title =        "Real-Time Implementation of Multiple Sub-array
                 Beam-Space {MUSIC} Based on {FPGA} and {DSP} Array",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "186--191",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/SEC.2008.6",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Covariance matrix; Digital signal processing;
                 Direction of arrival estimation; DSP; Eigenvalues and
                 eigenfunctions; Field programmable gate arrays; FPGA;
                 Hardware; Jacobian matrices; Lakes; MSB-MUSIC; Multiple
                 signal classification; parallel Jacobi; Parallel
                 processing; VD",
}

@InProceedings{Yili:2008:KCD,
  author =       "Zheng Yili and Sun Hanxu and Jia Qingxuan and Shi
                 Guozhen",
  booktitle =    "{2008 6th IEEE International Conference on Industrial
                 Informatics}",
  title =        "Kinematics control for a {6-DOF} space manipulator
                 based on {ARM} processor and {FPGA} Co-processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "129--134",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/INDIN.2008.4618080",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "6-DOF; Aerospace electronics; ARM; CORDIC; Field
                 programmable gate arrays; FPGA; Grippers; Kinematics;
                 Manipulators; Pipelines; Process control; Signal
                 processing algorithms; Space Manipulator; Vectors",
}

@InProceedings{Zhou:2008:DCF,
  author =       "Jie Zhou and Yazhuo Dong and Yong Dou and Yuanwu Lei",
  booktitle =    "{2008 International Conference on Embedded Software
                 and Systems}",
  title =        "Dynamic Configurable Floating-Point {FFT} Pipelines
                 and Hybrid-Mode {CORDIC} on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "616--620",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/ICESS.2008.95",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Azimuth; Clocks; CORDIC; Dynamic configurable
                 pipeline; FFT; Field programmable gate arrays;
                 Floating-point; FPGA; Lead; Pipelines; Process control;
                 Table lookup",
}

@InProceedings{Zhou:2008:DPH,
  author =       "Jie Zhou and Yong Dou and Yuanwu Lei and Jinbo Xu and
                 Yazhuo Dong",
  booktitle =    "{2008 10th IEEE International Conference on High
                 Performance Computing and Communications}",
  title =        "Double Precision Hybrid-Mode Floating-Point {FPGA}
                 {CORDIC} Co-processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "182--189",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/HPCC.2008.14",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Convergence; CORDIC;
                 double precision; Equations; Field programmable gate
                 arrays; floating-point; FPGA; Hardware; hybrid-mode;
                 Mathematical model; Pipelines",
}

@InProceedings{Zhou:2008:HMF,
  author =       "Jie Zhou and Yong Dou and Yuanwu Lei and Yazhuo Dong",
  title =        "Hybrid-Mode Floating-Point {FPGA} {CORDIC}
                 Co-processor",
  crossref =     "Woods:2008:RCA",
  pages =        "256--261",
  year =         "2008",
  DOI =          "https://doi.org/10.1007/978-3-540-78610-8_25",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Zou:2008:AIB,
  author =       "Decai Zou and Xiaochun Lu and Haitao Wu and Jinsong
                 Xu",
  booktitle =    "{2008 4th International Conference on Wireless
                 Communications, Networking and Mobile Computing}",
  title =        "Application of {IFFT} Based on {CORDIC} Algorithms in
                 {OFDM-UWB} System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2008",
  DOI =          "https://doi.org/10.1109/WiCom.2008.237",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Fast Fourier transforms; Field
                 programmable gate arrays; IEC standards; ISO standards;
                 OFDM modulation; RF signals; Software algorithms;
                 Standards organizations; Ultra wideband technology",
}

@Article{Zou:2008:FIE,
  author =       "X. Zou",
  title =        "{FPGA} Implementation of Exponent Function Based on
                 {CORDIC}",
  journal =      "Public Technology",
  volume =       "10",
  number =       "??",
  pages =        "36--37",
  month =        "",
  year =         "2008",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:12:21 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Adiono:2009:SPC,
  author =       "Trio Adiono and Randy Saut Purba",
  booktitle =    "{2009 International Conference on Electrical
                 Engineering and Informatics}",
  title =        "Scalable pipelined {CORDIC} architecture design and
                 implementation in {FPGA}",
  volume =       "02",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "646--649",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICEEI.2009.5254736",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Digital signal
                 processing; Equations; Field programmable gate arrays;
                 FPGA; Hardware design languages; Pipelines; Read only
                 memory; RTL; Signal processing; Signal processing
                 algorithms; Throughput; trigonometry",
}

@InProceedings{Arnold:2009:DPR,
  author =       "Mark G. Arnold and Sylvain Collange",
  title =        "A Dual-Purpose Real\slash Complex Logarithmic Number
                 System {ALU}",
  crossref =     "Bruguera:2009:PIS",
  pages =        "15--24",
  year =         "2009",
  bibdate =      "Fri Jun 12 12:34:25 2009",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "The real Logarithmic Number System (LNS) allows fast
                 and inexpensive multiplication and division but more
                 expensive addition and subtraction as precision
                 increases. Recent advances in higher-order and
                 multipartite table methods, together with
                 cotransformation, allow real LNS ALUs to be implemented
                 effectively on FPGAs for a wide variety of
                 medium-precision special-purpose applications. The
                 Complex LNS (CLNS) is a generalization of LNS which
                 represents complex values in log-polar form. CLNS is a
                 more compact representation than traditional
                 rectangular methods, reducing the cost of busses and
                 memory in intensive complex-number applications like
                 the FFT; however, prior CLNS implementations were
                 either slow CORDIC-based or expensive 2D-table-based
                 approaches. This paper attempts to leverage the recent
                 advances made in real-valued LNS units for the more
                 specialized context of CLNS. This paper proposes a
                 novel approach to reduce the cost of CLNS addition by
                 re-using a conventional real-valued LNS ALU with
                 specialized CLNS hardware that is smaller than the
                 real-valued LNS ALU to which it is attached. The
                 resulting ALU is much less expensive than prior fast
                 CLNS units at the cost of some extra delay. The extra
                 hardware added to the ALU is for trigonometric-related
                 functions, and may be useful in LNS applications other
                 than CLNS. The novel algorithm proposed here is
                 implemented using the FloPoCo library (which
                 incorporates recent HOTBM advances in function-unit
                 generation), and FPGA synthesis results are reported.",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-19; complex arithmetic; FPGA; hardware function
                 evaluation; logarithmic number system",
}

@Article{Barras:2009:LPB,
  author =       "David Barras and Robert Meyer-Piening and George von
                 Bueren and Walter Hirt and Heinz Jaeckel",
  title =        "A Low-Power Baseband {ASIC} for an Energy-Collection
                 {IR-UWB} Receiver",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  volume =       "44",
  number =       "6",
  pages =        "1721--1733",
  year =         "2009",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/JSSC.2009.2020199",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "Acquisition; Application specific integrated circuits;
                 Baseband; baseband processor; BER; CMOS technology;
                 CORDIC algorithm; Frequency shift keying; non-coherent
                 demodulation; Pulse modulation; quadricorrelation;
                 Radio frequency; Receivers; RF signals; Signal
                 processing; SNR estimate; synchronization; Ultra
                 wideband technology; ultra-wideband (UWB)",
}

@InProceedings{Chaitanya:2009:ICB,
  author =       "K. S. Chaitanya and P. Muralidhar and C. B. Rama Rao",
  booktitle =    "{2009 2nd IEEE International Conference on Computer
                 Science and Information Technology}",
  title =        "Implementation of {CORDIC} based {RAKE} receiver
                 architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "563--568",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICCSIT.2009.5234625",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; bit error rate (BER); CDMA; CORDIC;
                 Diversity reception; equal-gain combining; Fading;
                 Field programmable gate array (FPGA); Field
                 programmable gate arrays; Hardware; maximal-ratio
                 combining; Multiaccess communication; Multipath
                 channels; NIOS II processor; Propagation delay; RAKE
                 receiver; RAKE receivers; Signal to noise ratio;
                 system-on-chip (SoC)",
}

@InProceedings{Cortez:2009:LCD,
  author =       "Joaquin Cortez and Miguel Bazdresch and Armando
                 Garcia",
  booktitle =    "{2009 IEEE 20th International Symposium on Personal,
                 Indoor and Mobile Radio Communications}",
  title =        "Low complexity detector for {STBC-VBLAST} architecture
                 based on {SQRD} decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2549--2553",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/PIMRC.2009.5449994",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; Channel capacity; CORDIC algorithm;
                 Detectors; Fading; Givens rotations; Hybrid Space-Time
                 Codes; MIMO; MIMO Receivers; Receiving antennas; Signal
                 detection; Space time codes; Transmitting antennas;
                 Wireless communication",
}

@InProceedings{Dahab:2009:FPD,
  author =       "Mohamed A. Dahab and Khaled A. Shehata and Salwa H.
                 {El Ramly} and Karim A. Hamouda",
  booktitle =    "{2009 National Radio Science Conference, 17--19 March
                 2009, New Cairo, Egypt}",
  title =        "{FPGA} prototyping of digital {RF} transmitter
                 employing Delta Sigma modulation for {SDR}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2009",
  ISBN =         "1-4244-4214-1",
  ISBN-13 =      "978-1-4244-4214-0",
  ISSN =         "1110-6980",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5233994/",
  acknowledgement = ack-nhfb,
  keywords =     "All Digital Transmitter; CIC filter; Computer
                 architecture; CORDIC; DDFS; Delta-sigma modulation;
                 Field programmable gate arrays; low pass Delta Sigma
                 Modulator; MGT; NCO; Prototypes; Radio frequency; Radio
                 transceivers; Radio transmitters; SDR; Signal
                 synthesis; Software prototyping; Software radio",
}

@Article{DeCaro:2009:DSM,
  author =       "Davide {De Caro} and Nicola Petra and Antonio G. M.
                 Strollo",
  title =        "Digital Synthesizer\slash Mixer With Hybrid {CORDIC}
                 Multiplier Architecture: Error Analysis and
                 Optimization",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "56",
  number =       "2",
  pages =        "364--373",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/TCSI.2008.2001370",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "99-01",
  MRnumber =     "3030492",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1469.94241",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "94C30; Angle rotator; Circuits; CMOS technology;
                 Computer architecture; CORDIC algorithm; Delay; digital
                 downconverter; digital mixer; digital synthesizer;
                 digital tuner; digital upconverter; direct digital
                 frequency synthesizer (DDFS); Equations; Error
                 analysis; Frequency synthesizers; mixer; modulator;
                 quadrature modulator; Signal processing algorithms;
                 Table lookup; Tuners",
  ZBmath =       "7390012",
}

@InProceedings{Ganai:2009:EDP,
  author =       "Malay K. Ganai and Franjo Ivan{\v{c}}i{\'c}",
  booktitle =    "{2009 Formal Methods in Computer-Aided Design}",
  title =        "Efficient decision procedure for non-linear arithmetic
                 constraints using {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "61--68",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/FMCAD.2009.5351140",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Constraint theory; Control systems; Floating-point
                 arithmetic; Laboratories; National electric code;
                 Nonlinear control systems; Numerical stability;
                 Operations research; Search engines; Surface-mount
                 technology",
}

@InProceedings{Gao:2009:CAR,
  author =       "Qiang Gao and Louise Crockett and Robert Stewart",
  booktitle =    "{2009 17th European Signal Processing Conference,
                 24--28 August 2009, Glasgow, Scotland}",
  title =        "Coarse Angle Rotation Mode {CORDIC} based single
                 Processing Element {QR-RLS} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1279--1283",
  year =         "2009",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/7077607/",
  acknowledgement = ack-nhfb,
  keywords =     "Abstracts; Arrays; Clocks; Matrix decomposition;
                 Pipelines; Standards; Table lookup",
}

@InProceedings{Gestner:2009:VIE,
  author =       "Brian Gestner and Wei Zhang and Xiaoli Ma and David V.
                 Anderson",
  booktitle =    "{2009 IEEE International Conference on Acoustics,
                 Speech and Signal Processing}",
  title =        "{VLSI} implementation of an effective lattice
                 reduction algorithm with fixed-point considerations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "577--580",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICASSP.2009.4959649",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit error rate; CORDIC; Detectors; Equalizers; Field
                 programmable gate arrays; Hardware; Lattice Reduction;
                 Lattices; Maximum likelihood detection; MIMO; Processor
                 scheduling; Scheduling algorithm; Very large scale
                 integration",
}

@InProceedings{Groling:2009:HPP,
  author =       "Ch. Groling and Y. Daaboul and M. Lux and T. Orlik and
                 W. Schumacher",
  booktitle =    "{2009 13th European Conference on Power Electronics
                 and Applications, 08--10 September 2009, Barcelona,
                 Spain}",
  title =        "High-performance position evaluation of optical
                 encoders via a {CORDIC} algorithm and oversampling
                 techniques on an {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--9",
  year =         "2009",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5278984/",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustic noise; Demodulation; Field programmable gate
                 arrays; Harmonics; Motion Control; Motion control;
                 Noise; Optical feedback; Optical noise; Optical
                 sensors; Power harmonic filters; Sensor; Servo-drive;
                 Servomechanisms; Signal resolution",
}

@InProceedings{Han:2009:RFO,
  author =       "Jae-Woong Han and Young-Beom Jang",
  booktitle =    "{2009 Australian Communications Theory Workshop}",
  title =        "A Residual Frequency Offset Synchronization Scheme
                 Using a Simplified {CORDIC} Algorithm in {OFDM}
                 Systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "67--70",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/AUSCTW.2009.4805602",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Data communication; Demodulation; Fading; Fast Fourier
                 transforms; Frequency synchronization; Hardware;
                 Maximum likelihood estimation; OFDM; Robustness;
                 Telecommunication computing",
}

@InProceedings{Hu:2009:OAD,
  author =       "Shuhua Hu and Hui Li and Jiaqing Huang",
  booktitle =    "{2009 WRI International Conference on Communications
                 and Mobile Computing}",
  title =        "An Optimal Algorithm for Designing {NCO} Circuit in
                 Mobile Communication Systems",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "372--376",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/CMC.2009.132",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Circuits; Communication; CORDIC; Costs; Frequency; GSM;
                 Mobile communication; NCO; Oscillators; Table lookup;
                 Tuning",
}

@InProceedings{Khare:2009:HEA,
  author =       "Kavita Khare and Nilay Khare and Supriya Aggarwal",
  booktitle =    "{2009 IEEE International Advance Computing
                 Conference}",
  title =        "Hardware Efficient Algorithm for Complex Arithmetic",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "209--213",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/IADCC.2009.4809008",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Computer architecture; CORDIC; Digital arithmetic;
                 Digital signal processing; FPGA; Hardware; ISE
                 Simulator; Recursive Architecture; Signal processing
                 algorithms; Taylor series; Throughput; Very large scale
                 integration; Xilinx9.2i",
}

@InProceedings{Lakshmi:2009:FIH,
  author =       "B. Lakshmi and A. S. Dhar",
  booktitle =    "{TENCON 2009 --- 2009 IEEE Region 10 Conference}",
  title =        "{FPGA} implementation of a high speed {VLSI}
                 architecture for {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/TENCON.2009.5396201",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Biomedical computing; Communication industry; Computer
                 architecture; Computer industry; CORDIC algorithm;
                 Delay; Digital signal processing; Field programmable
                 gate arrays; Product design; redundant arithmetic;
                 rotation mode; Signal processing algorithms; Very large
                 scale integration; VLSI architecture",
}

@InProceedings{Lin:2009:RSB,
  author =       "You-Rong Lin and Jeich Mar",
  booktitle =    "{2009 IEEE 20th International Symposium on Personal,
                 Indoor and Mobile Radio Communications}",
  title =        "Realization of subspace-based digital beamformer using
                 {CORDIC} algorithm based on software defined radio
                 architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "798--802",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/PIMRC.2009.5450381",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application software;
                 Circuits; Computer architecture; field programmable
                 gate array (FPGA); Field programmable gate arrays;
                 hardware reconfiguration; Linear systems; Matrix
                 decomposition; multi-function matrix operation (MFMO);
                 Programmable logic arrays; Software algorithms;
                 Software radio; subspace digital beamforming (DBF)",
}

@Article{Meher:2009:YCA,
  author =       "Pramod K. Meher and Javier Valls and Tso-Bing Juang
                 and K. Sridharan and Koushik Maharatna",
  title =        "50 Years of {CORDIC}: Algorithms, Architectures, and
                 Applications",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "56",
  number =       "9",
  pages =        "1893--1907",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/TCSI.2009.2025803",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "68M07 (65-03 68-03)",
  MRnumber =     "2723225",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://eprints.soton.ac.uk/267873/1/tcas1_cordic_review.pdf",
  ZMnumber =     "1468.68026",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Application software; Arithmetic circuits; Computer
                 architecture; CORDIC; CORDIC algorithms; digital signal
                 processing chip; Eigenvalues and eigenfunctions;
                 Graphics; Image processing; Lifting equipment; Linear
                 systems; Robot kinematics; Signal processing; Singular
                 value decomposition; VLSI",
  remark-1 =     "From page 1893: ``Although CORDIC may not be the
                 fastest technique to perform these operations, it is
                 attractive due to the simplicity of its hardware
                 implementation, since the same iterative algorithm
                 could be used for all these applications using the
                 basic shift--add operations of the form $a \pm b
                 2^{-i}$.''",
  remark-2 =     "From page 1901: ``A detailed discussion on rounding
                 error due to fixed and floating point implementations
                 is available in [62]. In his earlier work, Walther
                 \cite{Walther:1971:UAE} concluded that the errors in
                 the CORDIC output are bounded, and $\log_2 n$ extra
                 bits are required in the datapaths to take care of the
                 errors. Hu \cite{Hu:1992:QEC} has provided more precise
                 error bounds due to the angle approximation error for
                 different CORDIC modes for fixed point as well as
                 floating-point implementations. The error bound
                 resulting for fixed point representation of arctangents
                 is further analyzed by Kota and Cavallaro
                 \cite{Kota:1993:NAH} and its impact on practical
                 implementation has been discussed.''",
  remark-3 =     "From pages 1901--1902: ``To achieve $n$-bit accuracy,
                 if fixed-point arithmetic is applied, the wordlength of
                 $x$ and $y$ data-path is $(n + 2 + \log_2(n))$ and for
                 the computation of the angle $\theta$, it is $(n +
                 \log_2(n))$ \cite{Dawid:1996:DCA,Kota:1993:NAH}.''",
  remark-4 =     "From page 1904: ``Latency of computation, however,
                 continues to be the major drawback of the CORDIC
                 algorithm, since we do not have efficient algorithms
                 for its parallel implementation. But, CORDIC on the
                 other hand is inherently suitable for pipelined
                 designs, due to its iterative behavior, and small cycle
                 time compared with the conventional arithmetic.''",
  ZBmath =       "7386719",
}

@InProceedings{Murong:2009:CCA,
  author =       "Jiang Murong and Yang Jun and Guo Yuedong and Du
                 Xiaogang and Li Na",
  booktitle =    "{2009 International Conference on Industrial
                 Mechatronics and Automation}",
  title =        "Combining {CORDIC} algorithm and {FPGA} to design dual
                 core {FFT} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "68--71",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICIMA.2009.5156562",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; BUF FPGA; Clocks;
                 CORDIC algorithm; Design automation; Design
                 engineering; FFT processor; Field programmable gate
                 arrays; Information science; Mechatronics; Pipelines;
                 Signal processing; Signal processing algorithms",
}

@InProceedings{Narkhede:2009:FIL,
  author =       "Nitin P. Narkhede and Shyamkant S. Limaye",
  booktitle =    "{2009 International Conference on Advances in
                 Computing, Control, and Telecommunication
                 Technologies}",
  title =        "{FPGA} Implementation of Low Phase Noise Oscillator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "244--247",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ACT.2009.68",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Communication system control; Computer architecture;
                 CORDIC; DDS; Equations; Field programmable gate arrays;
                 Hardware; Oscillators; Phase noise; Residual angle;
                 Stability; Telecommunication computing;
                 Telecommunication control; VHDL",
}

@InProceedings{Nilsson:2009:CRU,
  author =       "Peter Nilsson",
  booktitle =    "{2009 16th IEEE International Conference on
                 Electronics, Circuits and Systems --- (ICECS 2009)}",
  title =        "Complexity reductions in unrolled {CORDIC}
                 architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "868--871",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICECS.2009.5410765",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Computer architecture;
                 Costs; Delay; Energy consumption; Hardware; Information
                 technology; Input variables; Iterative algorithms; Read
                 only memory",
}

@Article{Park:2009:CBD,
  author =       "Jong Kang Park and Jong Tae Kim and Myong-Chul Shin",
  title =        "A {CORDIC}-based digital protective relay and its
                 architecture",
  journal =      j-MICROELECT-RELIABILITY,
  volume =       "49",
  number =       "4",
  pages =        "438--447",
  year =         "2009",
  CODEN =        "MCRLAS",
  DOI =          "https://doi.org/10.1016/j.microrel.2008.12.008",
  ISSN =         "0026-2714 (print), 1872-941x (electronic)",
  ISSN-L =       "0026-2714",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026271408004307",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics and Reliability",
}

@InProceedings{Reddy:2009:PCA,
  author =       "P. Sudhakar Reddy and G. Ramachandra Reddy",
  booktitle =    "{2009 International Conference on Communication
                 Software and Networks}",
  title =        "Performance Comparison of Autocorrelation and {CPRDIC}
                 Algorithm Implemented on {FPGA} for {OFDM} Based
                 {WLAN}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "575--579",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ICCSN.2009.172",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Autocorrelation;
                 Channel estimation; CORDIC; Field programmable gate
                 arrays; FPGA; Frequency conversion; Frequency
                 estimation; Hardware design languages;
                 Keywords:Autocorrelation; OFDM; Testing; Wireless LAN;
                 WLAN",
}

@Article{Sanchez:2009:IMI,
  author =       "Jose-Luis Sanchez and Higinio Mora and Jeronimo Mora
                 and Fco. Javier Ferrandez and Antonio Jimeno",
  title =        "An iterative method for improving decimal calculations
                 on computers",
  journal =      j-MATH-COMP-MODELLING,
  volume =       "50",
  number =       "5",
  pages =        "869--878",
  year =         "2009",
  CODEN =        "MCMOEG",
  DOI =          "https://doi.org/10.1016/j.mcm.2009.05.016",
  ISSN =         "0895-7177 (print), 1872-9479 (electronic)",
  ISSN-L =       "0895-7177",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Mathematical Models in Medicine \& Engineering",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0895717709001496",
  acknowledgement = ack-nhfb,
  fjournal =     "Mathematical and computer modelling",
  keywords =     "CORDIC; Decimal arithmetic; Hardware design",
}

@InProceedings{Senthilvelan:2009:CIS,
  author =       "Murugappan Senthilvelan and Mihai Sima and Daniel
                 Iancu and Javier Hormigo and Michael Schulte",
  booktitle =    "{2009 Conference Record of the Forty-Third Asilomar
                 Conference on Signals, Systems and Computers}",
  title =        "{CORDIC} instruction set extensions for matrix
                 decompositions on Software Defined Radio processors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1792--1797",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ACSSC.2009.5470207",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Computer industry; Costs; Digital signal
                 processors; Matrix decomposition; Physical layer;
                 Signal processing algorithms; Software radio; Time to
                 market; Wireless application protocol",
}

@InProceedings{Sharma:2009:ICA,
  author =       "Satish Sharma and P. N. Ravichandran and Sunil
                 Kulkarni and M. Vanitha and P. Lakshminarsimahan",
  booktitle =    "{2009 International Conference on Advances in Recent
                 Technologies in Communication and Computing}",
  title =        "Implementation of {Para-CORDIC} Algorithm and Its
                 Applications in Satellite Communication",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "266--270",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ARTCom.2009.137",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Artificial satellites;
                 communication system; Communications technology;
                 digital communication; digital frequency synthesiszer;
                 Digital systems; Equations; Frequency synthesizers;
                 Hardware; Parallel architectures; Satellite
                 communication; satellite communication; Testing",
}

@InProceedings{Shuqin:2009:LPD,
  author =       "Wan Shuqin and Huang Yiding and Zang Kaihong and Yu
                 Zongguang",
  booktitle =    "{2009 IEEE 8th International Conference on ASIC}",
  title =        "A {200MHz} low-power direct digital frequency
                 synthesizer based on mixed structure of angle
                 rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1177--1179",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ASICON.2009.5351174",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "angle rotation; Arithmetic; CMOS technology; Computer
                 architecture; Concurrent computing; CORDIC algorithm;
                 Delay; Direct digital frequency synthesizer (DDFS);
                 Frequency synthesizers; Logic circuits; pipeline;
                 Pipelines; Power dissipation; Table lookup",
}

@InProceedings{Suchitra:2009:ACH,
  author =       "S. Suchitra and R. K. Satzoda and T. Srikanthan",
  booktitle =    "{Proceedings of the 2009 12th International Symposium
                 on Integrated Circuits, 14--16 December 2009,
                 Singapore}",
  title =        "Accelerating {CORDIC} for {Hough} transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "167--170",
  year =         "2009",
  ISBN =         "981-08-2468-8",
  ISBN-13 =      "978-981-08-2468-6",
  ISSN =         "2325-0631",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5403892/",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; Computational complexity; CORDIC; Costs;
                 Engines; Equations; Hardware; Hough Transform; Image
                 edge detection; Iterative algorithms; Pixel;
                 Transforms",
}

@Article{Sugihara:2009:EPP,
  author =       "Takashi Sugihara and Kentaro Goto and Yoshiaki Konishi
                 and Takashi Mizuochi",
  title =        "Electronic Predistortion by Polar Coordinate
                 Transformation Using the {CORDIC} Algorithm",
  journal =      j-LIGHTWAVE-TECHNOL,
  volume =       "27",
  number =       "16",
  pages =        "3607--3613",
  year =         "2009",
  CODEN =        "JLTEDG",
  DOI =          "https://doi.org/10.1109/JLT.2009.2022847",
  ISSN =         "0733-8724 (print), 1558-2213 (electronic)",
  ISSN-L =       "0733-8724",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Lightwave Technology",
  keywords =     "Optical fiber communication; Optical fiber dispersion;
                 Optical filters; Optical interferometry; Optical
                 modulation; optical modulation; Optical receivers;
                 Optical signal processing; Optical transmitters;
                 optical transmitters; Predistortion; signal processing;
                 Signal processing algorithms",
}

@Article{Sultan:2009:CHH,
  author =       "Alan Sultan",
  title =        "{CORDIC}: How Hand Calculators Calculate",
  journal =      j-COLLEGE-MATH-J,
  volume =       "40",
  number =       "2",
  pages =        "87--92",
  month =        mar,
  year =         "2009",
  CODEN =        "????",
  DOI =          "https://doi.org/10.1080/07468342.2009.11922342",
  ISSN =         "0746-8342 (print), 1931-1346 (electronic)",
  ISSN-L =       "0746-8342",
  bibdate =      "Thu Feb 14 09:54:27 MST 2019",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/collegemathj.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "http://www.tandfonline.com/doi/abs/10.1080/07468342.2009.11922342",
  acknowledgement = ack-nhfb,
  fjournal =     "College Mathematics Journal",
  journal-URL =  "https://maa.tandfonline.com/loi/ucmj20;
                 https://www.jstor.org/journal/collmathj",
  onlinedate =   "28 Nov 2017",
}

@InProceedings{Sun:2009:LCM,
  author =       "Chi-Chia Sun and Philipp Donner and Jurgen Gotze",
  booktitle =    "{2009 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Low-complexity multi-purpose {IP} Core for quantized
                 Discrete Cosine and integer transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3014--3017",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ISCAS.2009.5118437",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; CORDIC; DCIT; DCT; Discrete
                 cosine transforms; Discrete transforms; Field
                 programmable gate arrays; FPGA; Information processing;
                 integer transform; low power; QDCIT; QDCT;
                 Quantization; reconfigurable architecture;
                 Reconfigurable architectures; Sun; Video codecs; Video
                 compression",
}

@InProceedings{Sun:2009:VCD,
  author =       "Chi-Chia Sun and Jurgen Gotze",
  booktitle =    "{2009 9th International Symposium on Communications
                 and Information Technology}",
  title =        "{VLSI} circuit design concept for parallel iterative
                 algorithms in nanoscale",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "688--692",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ISCIT.2009.5341155",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Circuit synthesis;
                 CORDIC; EVD; Integrated circuit interconnections;
                 Iterative Algorithm; Iterative algorithms; Jacobian
                 matrices; Manufacturing; MPSoC; Parallel Computing;
                 SVD; Switches; Throughput; Timing; Very large scale
                 integration; VLSI Design",
}

@InProceedings{Sung:2009:LPH,
  author =       "Tze-Yun Sung and Lyu-Ting Ko and Hsi-Chin Hsin",
  booktitle =    "{2009 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Low-power and {high-SFDR} direct digital frequency
                 synthesizer based on hybrid {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "249--252",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ISCAS.2009.5117732",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Digital circuits; Dynamic range; Energy consumption;
                 Field programmable gate arrays; Frequency synthesizers;
                 Read only memory; System-on-a-chip; Very large scale
                 integration",
}

@InProceedings{Teichmann:2009:SPA,
  author =       "Philip Teichmann and Marius Vollmer and J{\"u}rgen
                 Fischer and Benjamin Heyne and J{\"u}rgen G{\"o}tze and
                 Doris Schmitt-Landsiedel",
  booktitle =    "{Proceedings of the 2009 12th International Symposium
                 on Integrated Circuits, 14--16 December 2009,
                 Singapore}",
  title =        "Saving potentials of Adiab. Logic on system level: a
                 {CORDIC}-based adiabatic {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "105--108",
  year =         "2009",
  ISBN =         "981-08-2468-8",
  ISBN-13 =      "978-981-08-2468-6",
  ISSN =         "2325-0631",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5403965/",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS technology; Digital signal processing; Digital
                 systems; Discrete cosine transforms; Energy
                 consumption; Logic; Signal processing algorithms;
                 System testing; Vehicles; Voltage",
}

@Article{Vachhani:2009:ECA,
  author =       "Leena Vachhani and K. Sridharan and Pramod K. Meher",
  title =        "Efficient {CORDIC} Algorithms and Architectures for
                 Low Area and High Throughput Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "56",
  number =       "1",
  pages =        "61--65",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/TCSII.2008.2010169",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Algorithm design and analysis; Barrel shifter;
                 Circuits; Convergence; coordinate rotation digital
                 computer (CORDIC); extended range; Field programmable
                 gate arrays; Hardware; low area and high throughput
                 implementation; Multiplexing; Phase estimation; Read
                 only memory; Table lookup; Throughput",
}

@Article{Vachhani:2009:EFR,
  author =       "Leena Vachhani and K. Sridharan and Pramod Kumar
                 Meher",
  title =        "Efficient {FPGA} Realization of {CORDIC} With
                 Application to Robotic Exploration",
  journal =      j-IEEE-TRANS-IND-ELECTRON,
  volume =       "56",
  number =       "12",
  pages =        "4915--4929",
  year =         "2009",
  CODEN =        "ITIED6",
  DOI =          "https://doi.org/10.1109/TIE.2009.2026225",
  ISSN =         "0278-0046 (print), 1557-9948 (electronic)",
  ISSN-L =       "0278-0046",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Industrial Electronics",
  keywords =     "Algorithm design and analysis; Area-efficient
                 algorithm; coordinate rotation digital computer
                 (CORDIC); Field programmable gate arrays;
                 field-programmable gate array (FPGA) implementation;
                 Hospitals; Indoor environments; Intelligent robots;
                 Mobile robots; Read only memory; Robot kinematics;
                 robotic exploration; Robotics and automation; Service
                 robots",
}

@InProceedings{Valters:2009:FIE,
  author =       "Gatis Valters and Peteris Misans",
  booktitle =    "{2009 NORCHIP}",
  title =        "{FPGA} implementation of Elementary Generalized
                 Unitary Rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/NORCHP.2009.5397811",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithm; Discrete transforms; Discrete
                 wavelet transforms; Field programmable gate arrays;
                 Filtering; Filters; FPGA; Jacobian matrices; Logic
                 devices; Signal processing; Stress; unitary Jacobi
                 matrix; Unitary transforms; Wavelet transforms",
}

@InProceedings{Vazquez:2009:CDT,
  author =       "{\'A}lvaro Vazquez and Julio Villalba and Elisardo
                 Antelo",
  booktitle =    "{2009 19th IEEE Symposium on Computer Arithmetic}",
  title =        "Computation of Decimal Transcendental Functions Using
                 the {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "179--186",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/ARITH.2009.29",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Companies; Convergence; CORDIC; Costs; Decimal
                 arithmetic; Digital arithmetic; Finite wordlength
                 effects; Hardware; Iterative algorithms;
                 Microprocessors; Proposals; Technological innovation;
                 transcendental functions",
}

@InProceedings{Wang:2009:PDS,
  author =       "Han Wang and Yousi Zheng and Xiaokang Lin",
  booktitle =    "{2009 Seventh Annual Communication Networks and
                 Services Research Conference}",
  title =        "A Parallel Double-Step {CORDIC} Algorithm for Digital
                 Down Converter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "257--261",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/CNSR.2009.47",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Circuits; Communication
                 networks; Computer networks; Concurrent computing;
                 CORDIC; Delay; Digital arithmetic; digital down
                 converter; double step; Frequency synthesizers;
                 Iterative algorithms; parallel CORDIC; Throughput",
}

@Article{Wei:2009:NID,
  author =       "Cui Wei and Wu Siliang",
  title =        "A new implementation of dedicated circuit for {CORDIC}
                 algorithm",
  journal =      "Chinese Journal of Electronics",
  volume =       "18",
  number =       "1",
  pages =        "69--73",
  year =         "2009",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clock adjustment; Clocks; Conventional pipelined
                 CORDIC circuit (CPCC); Coordinate rotation digital
                 computer (CORDIC) algorithm; Delay balancing; Delays;
                 Field programmable gate arrays; Pipeline latency;
                 Pipelines; Radar tracking; Registers; Table lookup;
                 Wave pipelined CORDIC circuit (WPCC)",
}

@MastersThesis{Wen:2009:DIC,
  author =       "Jing Yuan Wen",
  title =        "Design and implement of {CORDIC} based high
                 performance {FFT}",
  type =         "{Master's} thesis",
  school =       "Nankai University",
  address =      "Tianjin, People's Republic of China",
  year =         "2009",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/design-implement-cordic-based-high-performance/docview/1869947130/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Qing Yun Gao",
  keywords =     "(UMI)AAI10457789; 0544:Electrical engineering; Applied
                 sciences; Electrical engineering",
  ris-m1 =       "10457789",
}

@Article{Wu:2009:ISD,
  author =       "Zhigang Wu and Jin Sha and Zhongfeng Wang and Li Li
                 and Minglun Gao",
  title =        "An improved scaled {DCT} architecture",
  journal =      j-IEEE-TRANS-CONSUMER-ELECTRONICS,
  volume =       "55",
  number =       "2",
  pages =        "685--689",
  year =         "2009",
  CODEN =        "ITCEDA",
  DOI =          "https://doi.org/10.1109/TCE.2009.5174440",
  ISSN =         "0098-3063 (print), 1558-4127 (electronic)",
  ISSN-L =       "0098-3063",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Consumer Electronics",
  keywords =     "Approximation algorithms; Approximation methods;
                 Computer architecture; DCT, Scaled DCT, CORDIC
                 algorithm.; Delay; Discrete cosine transforms;
                 Hardware; Iterative algorithms; Karhunen-Loeve
                 transforms; Quantization; Robustness",
}

@Article{Yang:2009:CAB,
  author =       "Zhihuai Yang and Xiaojun Jin and Huilian Ma and
                 Zhonghe Jin",
  title =        "{CORDIC} algorithm based digital detection technique
                 applied in resonator fiber optic gyroscope",
  journal =      "Optical Fiber Technology",
  volume =       "15",
  number =       "3",
  pages =        "328--331",
  year =         "2009",
  DOI =          "https://doi.org/10.1016/j.yofte.2009.02.004",
  ISSN =         "1068-5200",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1068520009000194",
  acknowledgement = ack-nhfb,
  keywords =     "Digitalization; Resonator fiber optic gyroscope;
                 Synchronous demodulation; {CORDIC} algorithm",
}

@InProceedings{Yu:2009:DCD,
  author =       "Jinshan Yu and Ruitao Zhang and YuJing Li and Dongbing
                 Fu and RuZhang Li and Yafeng Yao and Tun Li",
  booktitle =    "{2009 IEEE International Conference of Electron
                 Devices and Solid-State Circuits (EDSSC)}",
  title =        "Digital circuit design for a high-speed direct digital
                 frequency synthesizer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "162--165",
  year =         "2009",
  DOI =          "https://doi.org/10.1109/EDSSC.2009.5394164",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude modulation; Clocks; CMOS; CMOS technology;
                 Computer architecture; CORDIC; DataPath; DDFS; Digital
                 circuits; Digital modulation; Electronic equipment
                 testing; Frequency synthesizers; Laboratories; Phase
                 modulation",
}

@InProceedings{Abdulla:2010:HSR,
  author =       "Shakeel S. Abdulla and Haewoon Nam and Earl E.
                 Swartzlander and Jacob A. Abraham",
  booktitle =    "{23rd IEEE International SOC Conference}",
  title =        "High speed recursion-free {CORDIC} architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "65--70",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/SOCC.2010.5784666",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Mobile communication",
}

@Misc{Akhter:2010:ITLa,
  author =       "Nasrin Akhter and Kaniz Fatema and Lilatul Fersouse
                 and Faria Khandaker",
  title =        "Implementation of the Trigonometric {LMS} Algorithm
                 Using Original {CORDIC} Rotation",
  pages =        "12",
  year =         "2010",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  abstract =     "The LMS algorithm is one of the most successful
                 adaptive filtering algorithms. It uses the
                 instantaneous value of the square of the error signal
                 as an estimate of the mean-square error (MSE). The LMS
                 algorithm changes (adapts) the filter tap weights so
                 that the error signal is minimized in the mean square
                 sense. In Trigonometric LMS (TLMS) and Hyperbolic LMS
                 (HLMS), two new versions of LMS algorithms, same
                 formulations are performed as in the LMS algorithm with
                 the exception that filter tap weights are now expressed
                 using trigonometric and hyperbolic formulations, in
                 cases for TLMS and HLMS respectively. Hence appears the
                 CORDIC algorithm as it can efficiently perform
                 trigonometric, hyperbolic, linear and logarithmic
                 functions. While hardware-efficient algorithms often
                 exist, the dominance of the software systems has kept
                 those algorithms out of the spotlight. Among these
                 hardware- efficient algorithms, CORDIC is an iterative
                 solution for trigonometric and other transcendental
                 functions. Former researches worked on CORDIC algorithm
                 to observe the convergence behavior of Trigonometric
                 LMS (TLMS) algorithm and obtained a satisfactory result
                 in the context of convergence performance of TLMS
                 algorithm. But previous researches directly used the
                 CORDIC block output in their simulation ignoring the
                 internal step-by-step rotations of the CORDIC
                 processor. This gives rise to a need for verification
                 of the convergence performance of the TLMS algorithm to
                 investigate if it actually performs satisfactorily if
                 implemented with step-by-step CORDIC rotation. This
                 research work has done this job. It focuses on the
                 internal operations of the CORDIC hardware, implements
                 the Trigonometric LMS (TLMS) and Hyperbolic LMS (HLMS)
                 algorithms using actual CORDIC rotations. The obtained
                 simulation results are highly satisfactory and also it
                 shows that convergence behavior of HLMS is much better
                 than TLMS.",
  acknowledgement = ack-nhfb,
}

@Article{Akhter:2010:ITLb,
  author =       "Nasrin Akhter and Kaniz Fatema and Lilatul Fersouse
                 and Faria Khandaker",
  title =        "Implementation of the Trigonometric {LMS} Algorithm
                 Using Original {CORDIC} Rotation",
  journal =      "International journal of Computer Networks \&
                 Communications",
  volume =       "2",
  number =       "4",
  pages =        "84--95",
  month =        jul,
  year =         "2010",
  DOI =          "https://doi.org/10.5121/ijcnc.2010.2408",
  ISSN =         "0974-9322 (print), 0975-2293 (electronic)",
  ISSN-L =       "0974-9322",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://doi.org/10.5121/ijcnc.2010.2408",
  acknowledgement = ack-nhfb,
}

@Article{Aytore:2010:HAR,
  author =       "Emrah Ayt{\"o}re and Ali Ziya Alkar",
  title =        "Highly accurate reduced iteration {CORDIC} processor
                 algorithm",
  journal =      j-INT-J-ELECTRON,
  volume =       "97",
  number =       "2",
  pages =        "163--176",
  month =        feb,
  year =         "2010",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207210903289359",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@InProceedings{Bhakthavatchalu:2010:CPP,
  author =       "Ramesh Bhakthavatchalu and M. S. Sinith and Parvathi
                 Nair and K. Jismi",
  booktitle =    "{2010 5th International Conference on Industrial and
                 Information Systems}",
  title =        "A comparison of pipelined parallel and iterative
                 {CORDIC} design on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "239--243",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICIINFS.2010.5578702",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Clocks; Computer
                 architecture; Field programmable gate arrays; Hardware;
                 Iterative CORDIC; Mathematical model; Parallel CORDIC;
                 Pipelined CORDIC; Signal processing algorithms; Vector
                 rotation; Vector translation",
}

@InProceedings{Bhuria:2010:FIS,
  author =       "Shoaib Bhuria and P. Muralidhar",
  booktitle =    "{2010 International Conference on Power, Control and
                 Embedded Systems}",
  title =        "{FPGA} implementation of sine and cosine value
                 generators using {CORDIC} Algorithm for Satellite
                 Attitude Determination and calculators",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICPCES.2010.5698645",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Calculators; Clocks; Cordic; Cosine; Field
                 programmable gate arrays; FPGA; Position measurement;
                 Satellite broadcasting; Satellites; Sine; Table
                 lookup",
}

@InProceedings{Biswal:2010:IKA,
  author =       "Pradyut Biswal and Swapna Banerjee",
  booktitle =    "{2010 International Conference on Systems in Medicine
                 and Biology}",
  title =        "Implementation of Katsevich algorithm for helical
                 cone-beam computed tomography using {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "313--317",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICSMB.2010.5735394",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computed tomography; Digital signal processing; Field
                 programmable gate arrays; Geometry; Image
                 reconstruction; Spirals",
}

@InProceedings{Chouba:2010:ASD,
  author =       "Nabil Chouba and Laroussi Bouzaida",
  booktitle =    "{5th International Conference on Design \& Technology
                 of Integrated Systems in Nanoscale Era}",
  title =        "A {BIST} architecture for sigma delta {ADC} testing
                 based on embedded {NOEB} Self-Test and {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/DTIS.2010.5487558",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Automatic testing; Built-in self-test; Costs;
                 Delta-sigma modulation; Distortion; Filters; Signal
                 generators; Signal processing algorithms; Signal
                 resolution; System testing",
}

@InProceedings{El-Hariry:2010:MCM,
  author =       "Yassmeen M. El-Hariry and Ahmed H. Madian",
  booktitle =    "{2010 International Conference on Microelectronics}",
  title =        "{MOS} current mode logic realization of digital
                 arithmetic circuits",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "128--131",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICM.2010.5696090",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Capacitors; Clocks; CMOS integrated circuits;
                 CORDIC; Current mode logic; Delay; four-bit Multiplier;
                 low power dissipation; Power dissipation; Transistors",
}

@MastersThesis{Fang:2010:DFP,
  author =       "Zhao Fang",
  title =        "The design of {FFT} processor based on {CORDIC}
                 arithmetic",
  type =         "{Master's} thesis",
  school =       "Beijing Institute of Technology",
  address =      "Beijing (People's Republic of China)",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/design-fft-processor-based-on-cordic-arithmetic/docview/1868432799/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Ying Tao Ding",
  keywords =     "(UMI)AAI10350426; 0544:Electrical engineering; Applied
                 sciences; Electrical engineering",
  ris-m1 =       "10350426",
}

@InBook{Glascott-Jones:2010:OEU,
  author =       "Andrew Glascott-Jones and Philippe Kuntz and Thierry
                 Masson and P. A. Pinconcely and B. Diasparra and A.
                 Tatat and Franck Berny and F. Salvi and M. Fadlallah
                 and David Kerr-Munslow",
  booktitle =    "Advanced Microsystems for Automotive Applications
                 2010",
  title =        "Optimising Efficiency using Hardware Co-Processing for
                 the {CORDIC} Algorithm",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "325--335",
  year =         "2010",
  DOI =          "https://doi.org/10.1007/978-3-642-16362-3_31",
  ISBN =         "3-642-16362-9",
  ISBN-13 =      "978-3-642-16362-3",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Gutierrez:2010:FIB,
  author =       "R. Gutierrez and V. Torres and J. Valls",
  title =        "{FPGA}-implementation of {$ \arctan (Y / X) $} based
                 on logarithmic transformation and {LUT}-based
                 techniques",
  journal =      j-J-SYST-ARCH,
  volume =       "56",
  number =       "11",
  pages =        "588--596",
  year =         "2010",
  CODEN =        "JSARFB",
  DOI =          "https://doi.org/10.1016/j.sysarc.2010.07.013",
  ISSN =         "1383-7621 (print), 1873-6165 (electronic)",
  ISSN-L =       "1383-7621",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Design Flows and System Architectures for Adaptive
                 Computing on Reconfigurable Platforms",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1383762110000883",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Systems Architecture",
  journal-URL =  "https://www.sciencedirect.com/journal/journal-of-systems-architecture",
  keywords =     "(/); CORDIC; FPGA; Logarithm; Wireless communication",
}

@InProceedings{He:2010:AIL,
  author =       "Yuheng He and Rainer Martin and Attila Michael
                 Bilgic",
  booktitle =    "{The 10th IEEE International Symposium on Signal
                 Processing and Information Technology}",
  title =        "Approximate iterative Least Squares algorithms for
                 {GPS} positioning",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "231--236",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISSPIT.2010.5711784",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "approximation methods; Coordinate Rotation Digital
                 Computer (CORDIC); Differential GPS (DGPS); Global
                 Positioning System (GPS); Least Squares (LS); Position
                 measurement; QR Decomposition (QRD); Receivers",
}

@InProceedings{Hsiao:2010:IFP,
  author =       "Shen-Fu Hsiao and Chia-Shen Wen and Hsin-Mau Lee",
  booktitle =    "{2010 International Symposium on Next Generation
                 Electronics}",
  title =        "Implementation of floating-point {CORDIC} rotation and
                 vectoring based on look up tables and multipliers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "44--47",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISNE.2010.5669143",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adders; arithmetic; CMOS integrated
                 circuits; CORDIC; floating-point operations; function
                 approximation; Lead; look up table",
}

@InProceedings{Huang:2010:CIR,
  author =       "Yen-Chang Huang and Pei-Ju Lin and Yu-Jung Huang and
                 Ming-Dou Ker",
  booktitle =    "{2010 Asia Pacific Conference on Postgraduate Research
                 in Microelectronics and Electronics (PrimeAsia)}",
  title =        "{CORDIC} implementation of {RSSI} localization
                 method",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "295--298",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/PRIMEASIA.2010.5604902",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Awareness; CORDIC; Estimation; Location;
                 Mathematical model; Propagation losses; Receivers;
                 RSSI; Simulation; Wireless communication",
}

@InProceedings{Huang:2010:HIT,
  author =       "Yen-Chang Huang and Chien-Chang Lai and Yu-Jung
                 Huang",
  booktitle =    "{2010 International Symposium on Next Generation
                 Electronics}",
  title =        "Hardware implementation of triangulation method based
                 on {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "128--132",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISNE.2010.5669183",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; CORDIC; Field programmable gate arrays;
                 Hardware; Hardware design languages; Location
                 Awareness; Location awareness; Logic; Radiofrequency
                 identification; RFID; Signal processing algorithms;
                 Simulation; The cosine law; Vectors",
}

@InProceedings{Huang:2010:RNS,
  author =       "Pengda Huang and Yiming Pi",
  booktitle =    "{2010 International Symposium on Intelligent Signal
                 Processing and Communication Systems}",
  title =        "Research on novel structure of {GPS} signal
                 acquisition based on software receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISPACS.2010.5704772",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational modeling; CORDIC; Frequency estimation;
                 Global Positioning System; GPS Signal Acquisition;
                 Receivers; Signal resolution; Signal to noise ratio;
                 Split-radix FFT; Time frequency analysis",
}

@InProceedings{Ismail:2010:EHS,
  author =       "Yasser Ismail and Magdy A. Bayoumi",
  booktitle =    "{2010 IEEE Workshop On Signal Processing Systems}",
  title =        "Efficient high speed {lattice-CORDIC} {IFFT}
                 architecture for {DMT} transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "151--155",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/SIPS.2010.5624779",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Digital signal
                 processing; DMT; Generators; Hardware; lattice
                 architecture; Lattices; Logic gates; Power demand",
}

@InProceedings{Ismail:2010:FDT,
  author =       "Yasser Ismail and Jason McNeely and Mohsen Shaaban and
                 Mayssaa {Al Najjar} and Magdy A. Bayoumi",
  booktitle =    "{2010 IEEE International Conference on Image
                 Processing}",
  title =        "A fast discrete transform architecture for Frequency
                 Domain Motion Estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1249--1252",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICIP.2010.5652335",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; DCT; Discrete cosine
                 transforms; FDME; Generators; Lattices; Logic gates;
                 Shift registers",
}

@Article{Jaime:2010:ESF,
  author =       "Francisco J. Jaime and Miguel A. S{\'a}nchez and
                 Javier Hormigo and Julio Villalba and Emilio L.
                 Zapata",
  title =        "Enhanced Scaling-Free {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "57",
  number =       "7",
  pages =        "1654--1662",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/TCSI.2009.2037391",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "99-01",
  MRnumber =     "2814147",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Booth recoding; Computer architecture; Convergence;
                 convergence range; Coordinate Rotation DIgital Computer
                 (CORDIC); Delay; Digital signal processing; Energy
                 consumption; Hardware; Iterative algorithms; Power
                 generation economics; scaling-free; Signal processing
                 algorithms; Testing; vector rotation",
}

@Article{Lakshmi:2010:CAS,
  author =       "B. Lakshmi and A. S. Dhar",
  title =        "{CORDIC} Architectures: a Survey",
  journal =      "VLSI Design",
  volume =       "2010",
  number =       "1",
  pages =        "1--19",
  year =         "2010",
  DOI =          "https://doi.org/10.1155/2010/794891",
  ISSN =         "1065-514X, 1563-5171",
  MRclass =      "68M07 (68W35 94A12)",
  MRnumber =     "2609216",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1155/2010/794891",
  acknowledgement = ack-nhfb,
  ajournal =     "VLSI Des.",
  articleno =    "794891",
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1155/2010/794891",
  fjournal =     "VLSI Design",
}

@PhdThesis{Lakshmi:2010:HSV,
  author =       "B. Lakshmi",
  title =        "High speed {VLSI} {CORDIC} architectures",
  type =         "{Ph.D.} thesis",
  school =       "Indian Institute of Technology",
  address =      "Kharagpur, India",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/high-speed-vlsi-cordic-architectures/docview/885231323/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "A. S. Dhar",
  keywords =     "0984:Computer science; Applied sciences; Computer
                 science; Vector rotations; VLSI",
  ris-m1 =       "0823637",
}

@InProceedings{Li:2010:CBA,
  author =       "Xu Li and Wang Qin",
  booktitle =    "{2010 IEEE 12th International Conference on
                 Communication Technology}",
  title =        "{CORDIC} based algorithm for frequency offset
                 estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "817--820",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICCT.2010.5688688",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; CORDIC algorithm; Estimation; Field
                 programmable gate arrays; FPGA; Frequency estimation;
                 Frequency offset estimation; MVM-CORDIC; Table lookup",
}

@InProceedings{Li:2010:MCA,
  author =       "Huan Li and Yan Xin",
  booktitle =    "{2010 Third International Conference on Intelligent
                 Networks and Intelligent Systems}",
  title =        "Modified {CORDIC} Algorithm and Its Implementation
                 Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "618--621",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICINIS.2010.30",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; CORDIC; Field programmable gate arrays;
                 FPGA; Hardware; Hardware design languages; modified
                 algorithm; Signal processing algorithms; Simulation;
                 stream-lined construction",
}

@InProceedings{Liang:2010:DIB,
  author =       "Lu Liang and Zhang Chun and Wang Jing Chao",
  booktitle =    "{2010 IEEE Asia Pacific Conference on Circuits and
                 Systems}",
  title =        "A digital {IF} based {UHF} {RFID} reader transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "963--966",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/APCCAS.2010.5775036",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude modulation; CORDIC; Digital IF; Finite
                 impulse response filter; Power harmonic filters;
                 Radiofrequency identification; RFID; SFDR; Time
                 frequency analysis; Transmitter",
}

@InProceedings{Lin:2010:ICL,
  author =       "Pei-Ju Lin and Yeng-Chang Huang and Yu-Jung Huang and
                 Ming-Dou Ker",
  booktitle =    "{2010 Asia Pacific Conference on Postgraduate Research
                 in Microelectronics and Electronics (PrimeAsia)}",
  title =        "Implementation of the cosine law for location
                 awareness system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "255--258",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/PRIMEASIA.2010.5604912",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antenna radiation patterns; Computers; CORDIC; Field
                 programmable gate arrays; Hardware; Location Awareness;
                 Mobile radio mobility management; Radiofrequency
                 identification; RFID; Signal processing algorithms; The
                 cosine law",
}

@InProceedings{Liu:2010:DDF,
  author =       "Pei-Lin Liu and Yi-Ding Huang and Yue Huang and
                 Shu-Qin Wan",
  booktitle =    "{2010 10th IEEE International Conference on
                 Solid-State and Integrated Circuit Technology}",
  title =        "A {300MHz} direct digital frequency synthesizer based
                 on improved redundant prediction {CORDIC} in 0.35um
                 {CMOS}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "351--353",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICSICT.2010.5667721",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CMOS integrated circuits; CMOS technology;
                 Converters; Frequency synthesizers; Read only memory;
                 Silicon",
}

@MastersThesis{Liu:2010:DII,
  author =       "Bo Liu",
  title =        "Design and implementation of {IP} core generator of
                 {CORDIC}",
  type =         "{Master's} thesis",
  school =       "Wuhan University of Technology",
  address =      "Wuhan, People's Republic of China",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/design-implementation-ip-core-generator-cordic/docview/1868720935/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Qiu Yuan Huang",
  keywords =     "(UMI)AAI10377315; 0489:Information Technology; Applied
                 sciences; Information technology",
  ris-m1 =       "10377315",
}

@InProceedings{Lofgren:2010:BSC,
  author =       "Johan L{\"o}fgren and Peter Nilsson",
  booktitle =    "{2010 53rd IEEE International Midwest Symposium on
                 Circuits and Systems}",
  title =        "Bit-serial {CORDIC}: Architecture and implementation
                 improvements",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "65--68",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/MWSCAS.2010.5548562",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits; Computer architecture; Field programmable
                 gate arrays; Hardware; Helium; Information technology;
                 Logic; Registers; Threshold voltage; Throughput",
}

@InProceedings{Mandal:2010:ICR,
  author =       "Amritakar Mandal and Brajesh Kumar Kaushik and K. C.
                 Tyagi and R. P. Agarwal and Anuj Kumar",
  booktitle =    "{2010 3rd International Conference on Emerging Trends
                 in Engineering and Technology}",
  title =        "Implementation of Coordinate Rotation Algorithm for
                 Digital Phase Locked Loop System in In-Phase and
                 Quadrature Channel Signal Processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "721--725",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICETET.2010.164",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Demodulation; Digital Signal Processing; DPLL;
                 Equations; Loop performance; Low pass filters;
                 Mathematical model; Micro-rotation; Pipelined
                 Architecture; Signal processing algorithms",
}

@InProceedings{Mandal:2010:VAD,
  author =       "Amritakar Mandal and K. C. Tyagi and Brajesh Kumar
                 Kaushik",
  booktitle =    "{2010 International Conference on Advances in Recent
                 Technologies in Communication and Computing}",
  title =        "{VLSI} Architecture Design and Implementation for
                 Application Specific {CORDIC} Processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "191--193",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ARTCom.2010.94",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Computers; CORDIC;
                 Digital signal processing; Digital Signal Processing;
                 micro-rotation; Pipelined Architecture; Quantization;
                 Quantization error; Signal processing algorithms; Very
                 large scale integration",
}

@InProceedings{Mar:2010:SSB,
  author =       "Jeich Mar and Chi-Cheng Kuo and Shih-Hao Chou",
  booktitle =    "{2010 IEEE Asia Pacific Conference on Circuits and
                 Systems}",
  title =        "{SDR} structure based {CFO} estimation and
                 compensation circuit for {OFDM} systems using
                 reconfigurable {CORDIC} {FPGA} modules",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "352--355",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/APCCAS.2010.5774823",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Estimation; Field programmable gate arrays; Frequency
                 estimation; Hardware; OFDM; Training",
}

@InProceedings{Munoz:2010:FBF,
  author =       "Daniel M. Mu{\~n}oz and Diego F. Sanchez and Carlos H.
                 Llanos and Mauricio Ayala-Rinc{\'o}n",
  booktitle =    "{2010 VI Southern Programmable Logic Conference
                 (SPL)}",
  title =        "{FPGA} based floating-point library for {CORDIC}
                 algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "55--60",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/SPL.2010.5483002",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; Computer architecture;
                 Concurrent computing; Costs; Dynamic range; Error
                 analysis; Field programmable gate arrays; Hardware;
                 Libraries; Signal processing algorithms",
}

@InProceedings{Prasad:2010:FID,
  author =       "M. V. N. V. Prasad and K. C. Ray and A. S. Dhar",
  booktitle =    "{2010 International Conference on Signal Processing
                 and Communications (SPCOM)}",
  title =        "{FPGA} implementation of discrete fractional {Fourier}
                 transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/SPCOM.2010.5560491",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; CORDIC; Discrete
                 Fractional Fourier Transform; Fourier transforms; FPGA
                 and Hardware Architecture; Hardware; Radiation
                 detectors; Read only memory; Registers",
}

@MastersThesis{Prasad:2010:SBB,
  author =       "Durga Prasad Prasad",
  title =        "{SIMD} based baseband processor for {CORDIC}
                 algorithms",
  type =         "{M.S.} thesis",
  school =       "The University of Texas at Dallas",
  address =      "Dallas, TX, USA",
  pages =        "114",
  year =         "2010",
  ISBN =         "1-124-08517-3",
  ISBN-13 =      "978-1-124-08517-3",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/simd-based-baseband-processor-cordic-algorithms/docview/734200378/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Poras T. Balsara",
  keywords =     "0464:Computer Engineering; 0544:Electrical
                 engineering; Applied sciences; Computer engineering;
                 Electrical engineering",
  ris-m1 =       "1485103",
}

@InProceedings{Qi:2010:CIP,
  author =       "Zhenyu Qi and Adam C. Cabe and Robert T. Jones and
                 Mircea R. Stan",
  booktitle =    "{Proceedings of the IEEE SoutheastCon 2010
                 (SoutheastCon)}",
  title =        "{CORDIC} implementation with parameterizable
                 {ASIC\slash SoC} flow",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "13--16",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/SECON.2010.5453930",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Chirp;
                 Clocks; Computer architecture; Discrete cosine
                 transforms; Discrete Fourier transforms; Fast Fourier
                 transforms; Fourier transforms; Hardware; Signal
                 processing algorithms",
}

@InProceedings{Ray:2010:CBV,
  author =       "Kailash Chandra Ray and Rohit Shukla and Anindya
                 Sundar Dhar",
  booktitle =    "{2010 Second International conference on Computing,
                 Communication and Networking Technologies}",
  title =        "{CORDIC}-based {VLSI} architecture for implementing
                 Log Polar Transformation for real time applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICCCNT.2010.5591772",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Architecture; Computer architecture;
                 Equations; Hardware; Image registration; Real time
                 systems",
}

@InProceedings{Reddy:2010:VIA,
  author =       "P. Sudhakar Reddy and G. Ramachandra Reddy",
  booktitle =    "{2010 Second International Conference on Communication
                 Software and Networks}",
  title =        "{VLSI} Implementation of Autocorrelator and {CORDIC}
                 Algorithm for {OFDM} Based {WLAN}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "525--531",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICCSN.2010.41",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application specific
                 integrated circuits; Autocorrelation; Autocorrelator;
                 Channel estimation; CORDIC; Design methodology;
                 Frequency conversion; Frequency estimation; OFDM; Very
                 large scale integration; VLSI; Wireless LAN; WLAN",
}

@Article{Rodrigues:2010:ACU,
  author =       "Terence K. Rodrigues and Earl E. {Swartzlander, Jr.}",
  title =        "Adaptive {CORDIC}: Using Parallel Angle Recoding to
                 Accelerate Rotations",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "59",
  number =       "4",
  pages =        "522--531",
  month =        apr,
  year =         "2010",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2009.190",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "99-03",
  MRnumber =     "2751818",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1367.65243",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "65Y04,65Y05; Adders; angle rotation; CORDIC algorithm;
                 cosine computation.; Data mining; Equations; Hardware;
                 Heuristic algorithms; Mathematical model; Read only
                 memory; sine computation",
  ZBmath =       "6753334",
}

@InProceedings{Rongi:2010:CSP,
  author =       "M. A. Rongi and A. Saparon and N. H. Marzuki",
  booktitle =    "{2010 International Conference on Electronic Devices,
                 Systems and Applications}",
  title =        "A {CORDIC-Sinusoidal} Pulse Width Modulation using
                 Silterra 0.18 $ \mu $ m {CMOS} technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "76--81",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICEDSA.2010.5503098",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CMOS technology;
                 Coordinate Rotation Digital Computer (CORDIC); Design
                 optimization; Home appliances; Insulated Gate Bipolar
                 Transistor (IGBT); Insulated gate bipolar transistors;
                 Power electronics; Pulse generation; Pulse width
                 modulation; Sinusoidal Pulse Width Modulation (SPWM);
                 Space vector pulse width modulation; Switches;
                 Synopsys",
}

@InProceedings{Rongi:2010:SPW,
  author =       "M. A. Rongi and A. Saparon and M. K. Hamzah",
  booktitle =    "{2010 5th IEEE Conference on Industrial Electronics
                 and Applications}",
  title =        "Sinusoidal Pulse Width Modulation using {CORDIC}
                 algorithm for Single Phase Matrix Converter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1088--1093",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICIEA.2010.5515822",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application software; CORDIC; Field programmable gate
                 arrays; FPGA; Hardware; Iterative algorithms; Matrix
                 converters; Phase modulation; Pulse width modulation;
                 Pulse width modulation converters; Signal processing
                 algorithms; SPMC; SPWM; Table lookup",
}

@InBook{Schaumont:2010:CC,
  author =       "Patrick R. Schaumont",
  booktitle =    "A Practical Introduction to {Hardware/Software}
                 Codesign",
  title =        "{CORDIC} Coprocessor",
  publisher =    "Springer US",
  pages =        "369--388",
  year =         "2010",
  DOI =          "https://doi.org/10.1007/978-1-4419-6000-9_12",
  ISBN =         "1-4419-6000-7",
  ISBN-13 =      "978-1-4419-6000-9",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Senthilvelan:2010:CBL,
  author =       "Murugappan Senthilvelan and Javier Hormigo and Joon
                 Hwa Chun and Mihai Sima and Daniel Iancu and Michael
                 Schulte and John Glossner",
  booktitle =    "{2010 International Conference on Embedded Computer
                 Systems: Architectures, Modeling and Simulation}",
  title =        "{CORDIC}-based {LMMSE} equalizer for Software Defined
                 Radio",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "301--308",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICSAMOS.2010.5642051",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Digital signal processing; Equalizers;
                 Equations; Finite impulse response filter; Givens
                 rotation; ISA extensions; LMMSE equalization; Matrix
                 decomposition; Multiaccess communication; QR
                 decomposition; Registers; SDR",
}

@PhdThesis{Senthilvelan:2010:CIS,
  author =       "Murugappan Senthilvelan",
  title =        "{CORDIC} instructions for software defined radio",
  type =         "{Ph.D.} thesis",
  school =       "The University of Wisconsin --- Madison",
  address =      "Madison, WI, USA",
  pages =        "224",
  year =         "2010",
  ISBN =         "1-124-36881-7",
  ISBN-13 =      "978-1-124-36881-8",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/cordic-instructions-software-defined-radio/docview/820660550/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Michael J. Schulte",
  keywords =     "0544:Electrical engineering; Applied sciences; Channel
                 decomposition; Electrical engineering; Low-density
                 parity-check codes; Software-defined radio",
  ris-m1 =       "3437392",
}

@InProceedings{Sun:2010:CIC,
  author =       "Chi-Chia Sun and Ce Zhang and Juergen Goetze",
  booktitle =    "{2010 IEEE Asia Pacific Conference on Circuits and
                 Systems}",
  title =        "A configurable {IP} core for inverse quantized
                 discrete cosine and integer transforms with arbitrary
                 accuracy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "915--918",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/APCCAS.2010.5774865",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit rate; Computer architecture; CORDIC; DCT; Discrete
                 cosine transforms; FPGA; H.264; ICT; IP networks;
                 MPEG-4; PSNR; Quantization; Reconfigurable; Transform
                 coding",
}

@InProceedings{Szecowka:2010:CSI,
  author =       "Przemys{\l}aw M. Szec{\'o}wka and Piotr Malinowski",
  booktitle =    "{Proceedings of the 17th International Conference
                 Mixed Design of Integrated Circuits and Systems ---
                 MIXDES 2010, 24--26 June 2010, Wroc{\l}aw, Poland}",
  title =        "{CORDIC} and {SVD} implementation in digital
                 hardware",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "237--242",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5551655/",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CORDIC; Delay; digital; FPGA; hardware;
                 Hardware; Matrix decomposition; Radiation detectors;
                 Registers; Singular value decomposition; SVD; VHDL",
}

@InProceedings{Viteri:2010:DCF,
  author =       "Alejandro Viteri and Amir Zjajo and Thijmen Hamoen and
                 Nick van der Meijs",
  booktitle =    "{2010 17th IEEE International Conference on
                 Electronics, Circuits and Systems}",
  title =        "Digital {Cartesian} feedback linearization of switched
                 mode power amplifiers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "890--893",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICECS.2010.5724655",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bismuth; Cartesian feedback; Clocks; CORDIC; Delay;
                 linearization; Neodymium; phase alignment; Phase
                 distortion; Switched-mode power supply",
}

@InProceedings{Wang:2010:HEA,
  author =       "Tao Wang and Ping Wei",
  booktitle =    "{2010 2nd International Conference on Computer
                 Engineering and Technology}",
  title =        "Hardware efficient architectures of improved {Jacobi}
                 method to solve the eigenproblem",
  volume =       "6",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "V6--22--V6--25",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICCET.2010.5486271",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Concurrent computing; CORDIC;
                 Design optimization; Eigenvalues and eigenfunctions;
                 EVD; Field programmable gate arrays; FPGA; Hardware;
                 Image analysis; Jacobi; Jacobian matrices; Principal
                 component analysis; Symmetric matrices",
}

@InProceedings{Wang:2010:ISA,
  author =       "Qing Wang and Ziming Zhong and Xiang Lin",
  booktitle =    "{2010 3rd International Conference on Computer Science
                 and Information Technology}",
  title =        "An improved {SCS} algorithm based on {LINC}
                 transmitter",
  volume =       "9",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "789--792",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICCSIT.2010.5563687",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "amplitude and phase separation; Approximation
                 algorithms; constant envelop; CORDIC algorithm; envelop
                 mutations; Field programmable gate arrays; Peak to
                 average power ratio; Power amplifiers; Radio frequency;
                 signal component separation; Table lookup;
                 Transmitters",
}

@InProceedings{Wang:2010:MEF,
  author =       "Hongzhi Wang and Yves Lou{\"e}t and Jacques Palicot
                 and Laurent Alaus and Dominique Noguet",
  booktitle =    "{2010 2nd International Workshop on Cognitive
                 Information Processing}",
  title =        "Memory-efficient {FFT} architecture using {R-LFSR}
                 based {CORDIC} common operator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "162--167",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/CIP.2010.5604220",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Common Operator; CORDIC; FFT; Field programmable gate
                 arrays; LFSR; Radio frequency; Software Defined Radio",
}

@InProceedings{Wawryn:2010:IFI,
  author =       "Krzysztof Wawryn and Robert T. Wirski and Bogdan
                 Strzeszewski",
  booktitle =    "{2010 International Symposium On Information Theory \&
                 Its Applications}",
  title =        "Implementation of finite impulse response systems
                 using rotation structures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "606--610",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISITA.2010.5649712",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Delay; Eigenvalues and eigenfunctions;
                 Equations; Field programmable gate arrays; Finite
                 impulse response filter; FIR; Gaussian filter;
                 Mathematical model; Matrix decomposition; orthogonal
                 system; state-space equations; two-dimensional
                 systems",
}

@InProceedings{Wu:2010:NCA,
  author =       "Peng Wu and Chun He and Zhu-lin Zong",
  booktitle =    "{International Conference on Computational
                 Problem-Solving, 03--05 December 2010, Li Jiang,
                 China}",
  title =        "A novel correlation architecture of acquisition for
                 {GNSS} satellite navigation system receiver based on
                 {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "115--121",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/5696045/",
  acknowledgement = ack-nhfb,
  keywords =     "acquisition; Artificial neural networks; CORDIC;
                 Correlation; Equations; FFT; Global Navigation
                 Satellite Systems; GNSS receiver; Hardware;
                 Mathematical model; Receivers",
}

@InProceedings{Xiao:2010:RMA,
  author =       "Xin Xiao and Erdal Oruklu and Jafar Saniie",
  booktitle =    "{Proceedings of 2010 IEEE International Symposium on
                 Circuits and Systems}",
  title =        "Reduced memory architecture for {CORDIC}-based {FFT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2690--2693",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ISCAS.2010.5537045",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Field programmable gate arrays;
                 Hardware; Logic; Memory architecture; Pipelines; Read
                 only memory; Signal processing algorithms; Synthetic
                 aperture radar; Very large scale integration",
}

@InProceedings{Ye:2010:FIC,
  author =       "Min Ye and Taijun Liu and Yan Ye and Gaoming Xu and
                 Tiefeng Xu",
  booktitle =    "{2010 6th International Conference on Wireless
                 Communications Networking and Mobile Computing
                 (WiCOM)}",
  title =        "{FPGA} Implementation of {CORDIC}-based Square Root
                 Operation for Parameter Extraction of Digital
                 Pre-Distortion for Power Amplifiers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/WICOM.2010.5600929",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; Field programmable gate arrays; Hardware;
                 Parameter extraction; Power amplifiers; Software;
                 Software algorithms",
}

@InProceedings{Yong:2010:RIL,
  author =       "Jiao Yong and Wang Lu-ya and Chen Yue-yue",
  booktitle =    "{2010 International Conference on Audio, Language and
                 Image Processing}",
  title =        "The research and implementation of line anti-aliasing
                 algorithm based on coordinate system rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "180--184",
  month =        nov,
  year =         "2010",
  DOI =          "https://doi.org/10.1109/icalip.2010.5684982",
  bibdate =      "Wed Nov 12 08:12:05 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@MastersThesis{Yuan:2010:RDB,
  author =       "Lei Yuan",
  title =        "The realization of {DDS} based on {CORDIC} algorithm",
  type =         "{Master's} thesis",
  school =       "Beijing Institute of Technology",
  address =      "Beijing (People's Republic of China)",
  year =         "2010",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/realization-dds-based-on-cordic-algorithm/docview/1868432812/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Xiu Jie Qu",
  keywords =     "(UMI)AAI10350272; 0544:Electrical engineering; Applied
                 sciences; Electrical engineering",
  ris-m1 =       "10350272",
}

@InProceedings{Zhu:2010:IFI,
  author =       "Xiaoping Zhu and Yaowu Chen",
  booktitle =    "{The 2010 International Conference on Apperceiving
                 Computing and Intelligence Analysis Proceeding}",
  title =        "Improved {FPGA} implementation of Probabilistic Neural
                 Network for neural decoding",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "198--202",
  year =         "2010",
  DOI =          "https://doi.org/10.1109/ICACIA.2010.5709882",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial neural networks; Biological neural
                 networks; CORDIC; Field programmable gate arrays; FPGA;
                 Mixed data size; Probabilistic logic; Probabilistic
                 Neural Network; Resource management; Table lookup;
                 Training",
}

@Article{Acharyya:2011:CRB,
  author =       "Amit Acharyya and Koushik Maharatna and Bashir M.
                 Al-Hashimi and Jeff Reeve",
  title =        "Coordinate Rotation Based Low Complexity {N-D FastICA}
                 Algorithm and Architecture",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "59",
  number =       "8",
  pages =        "3997--4011",
  year =         "2011",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/TSP.2011.2150219",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Algorithm design and analysis; Blind source
                 separation; Complexity theory; Computer architecture;
                 CORDIC; Estimation; FastICA; Hardware; independent
                 component analysis; low complexity algorithm and
                 architecture; Signal processing algorithms; Three
                 dimensional displays",
}

@InProceedings{Bhakthavatchalu:2011:CRF,
  author =       "Ramesh Bhakthavatchalu and Nisha Abdul Kareem and J.
                 Arya",
  booktitle =    "{2011 IEEE Recent Advances in Intelligent
                 Computational Systems}",
  title =        "Comparison of reconfigurable {FFT} processor
                 implementation using {CORDIC} and multipliers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "343--347",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/RAICS.2011.6069331",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; digital signal processor (DSP); Discrete
                 Fourier transforms; Equations; Fast Fourier transform
                 (FFT); Fast Fourier transforms; FPGA; Integrated
                 circuit modeling; Signal processing algorithms",
}

@InProceedings{Bhakthavatchalu:2011:LPD,
  author =       "Ramesh Bhakthavatchalu and Parvathi Nair",
  booktitle =    "{2011 3rd International Conference on Electronics
                 Computer Technology}",
  title =        "Low power design techniques applied to pipelined
                 parallel and iterative {CORDIC} design",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "336--340",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICECTECH.2011.5942015",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Clock Gating; Clocks;
                 Computer architecture; Hardware; Iterative CORDIC;
                 Mathematical model; Parallel CORDIC; Pipelined CORDIC;
                 Registers; Signal processing algorithms; Vector
                 rotation",
}

@Article{Bi:2011:DDF,
  author =       "Zhuo Bi and Yijun Dai",
  title =        "Datapath Design and Full Custom Implementation of
                 Radix-2 {CORDIC} Processor",
  journal =      "Procedia Engineering",
  volume =       "15",
  pages =        "3848--3853",
  year =         "2011",
  DOI =          "https://doi.org/10.1016/j.proeng.2011.08.720",
  ISSN =         "1877-7058",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "CEIS 2011",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877705811022211",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; full custom; pipeline",
}

@InProceedings{Cao:2011:RLD,
  author =       "Yi-Jiang Cao and Yang Wang and Tze-Yun Sung",
  booktitle =    "{Proceedings of 2011 6th International Forum on
                 Strategic Technology}",
  title =        "A {ROM-less} direct digital frequency synthesizer
                 based on a scaling-free {CORDIC} algorithm",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1186--1189",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/IFOST.2011.6021232",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Arrays; Clocks; DDFS; Field programmable gate
                 arrays; FPGA; Generators; Hardware; Hardware design
                 languages; scaling-free CORDIC algorithm; SFDR",
}

@Misc{Chadha:2011:DSBa,
  author =       "Aman Chadha and Divya Jyoti and M. G. Bhatia",
  title =        "Design and Simulation of an 8-bit Dedicated Processor
                 for calculating the Sine and Cosine of an Angle using
                 the {CORDIC} Algorithm",
  year =         "2011",
  DOI =          "https://doi.org/10.48550/arXiv.1111.1086",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1111.1086",
  abstract =     "This paper describes the design and simulation of an
                 8-bit dedicated processor for calculating the Sine and
                 Cosine of an Angle using CORDIC Algorithm (COordinate
                 Rotation DIgital Computer), a simple and efficient
                 algorithm to calculate hyperbolic and trigonometric
                 functions. We have proposed a dedicated processor
                 system, modeled by writing appropriate programs in
                 VHDL, for calculating the Sine and Cosine of an angle.
                 System simulation was carried out using ModelSim 6.3f
                 and Xilinx ISE Design Suite 12.3. A maximum frequency
                 of 81.353 MHz was reached with a minimum period of
                 12.292 ns. 126 (3\%) slices were used. This paper
                 attempts to survey the existing CORDIC algorithm with
                 an eye towards implementation in Field Programmable
                 Gate Arrays (FPGAs). A brief description of the theory
                 behind the algorithm and the derivation of the Sine and
                 Cosine of an angle using the CORDIC algorithm has been
                 presented. The system can be implemented using Spartan3
                 XC3S400 with Xilinx ISE 12.3 and VHDL.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "1111.1086",
  primaryclass = "cs.AR",
}

@InProceedings{Chadha:2011:DSBb,
  author =       "Aman Chadha and Divya Jyoti and M. G. Bhatia",
  editor =       "????",
  booktitle =    "Proceedings of the {2011 IEEE International Conference
                 on Computational Intelligence and Computing Research
                 (ICCIC)}",
  title =        "Design and Simulation of an 8-bit Dedicated Processor
                 for calculating the Sine and Cosine of an Angle using
                 the {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "??--??",
  year =         "2011",
  DOI =          "",
  ISBN =         "1-61284-694-7, 1-61284-766-8",
  ISBN-13 =      "978-1-61284-694-1, 978-1-61284-766-5",
  LCCN =         "",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
  remark =       "Not found in IEEE Xplore database, but preprint PDF
                 \cite{Chadha:2011:DSBa} records the proceedings name
                 and ISBN values on its title page.",
}

@InProceedings{Chandrakanth:2011:LLH,
  author =       "Y. Chandrakanth and M. Praveen Kumar",
  booktitle =    "{2011 International Conference on Signal Processing,
                 Communication, Computing and Networking Technologies}",
  title =        "Low latency \& high precision {CORDIC} architecture
                 using improved parallel angle recoding",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "498--501",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICSCCN.2011.6024602",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Angle Selection; Application specific integrated
                 circuits; Basic CORDIC; Clocks; Computers; Control
                 CORDIC; CORDIC; Low latency; Read only memory",
}

@InProceedings{Chen:2011:FPC,
  author =       "Dongdong Chen and Mihai Sima",
  booktitle =    "{2011 International Conference on Reconfigurable
                 Computing and FPGAs}",
  title =        "Fixed-Point {CORDIC}-based {$ Q R $} Decomposition by
                 {Givens} Rotations on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "327--332",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ReConFig.2011.38",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Arrays; Field programmable gate arrays;
                 Hardware; Matrix decomposition; Vectors",
}

@InProceedings{Choudhary:2011:CBI,
  author =       "Pooja Choudhary and Abhijit Karmakar",
  booktitle =    "{2011 2nd International Conference on Computer and
                 Communication Technology (ICCCT-2011)}",
  title =        "{CORDIC} based implementation of {Fast Fourier
                 Transform}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "550--555",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICCCT.2011.6075128",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Butterfly; Computers;
                 CORDIC; DFT; Discrete Fourier transforms; Equations;
                 Fast Fourier transforms; FFT; Read only memory; ROM;
                 Simulation; Twiddle factor",
}

@Article{Dai:2011:CAB,
  author =       "Yi-jun Dai and Zhuo Bi",
  title =        "{CORDIC} algorithm based on {FPGA}",
  journal =      "Journal of Shanghai University (English Edition)",
  volume =       "15",
  number =       "4",
  pages =        "304--309",
  month =        aug,
  year =         "2011",
  DOI =          "https://doi.org/10.1007/s11741-011-0741-3",
  ISSN =         "1863-236X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Gautam:2011:HED,
  author =       "Vinay Gautam and Kailash Chandra Ray and Pauline
                 Haddow",
  booktitle =    "{14th IEEE International Symposium on Design and
                 Diagnostics of Electronic Circuits and Systems}",
  title =        "Hardware efficient design of Variable Length {FFT}
                 Processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "309--312",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/DDECS.2011.5783102",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Dynamic Address Generator; FFT; Field programmable
                 gate arrays; Generators; Hardware; Memory management;
                 OFDM; Pipelined CORDIC; Radiation detectors;
                 Throughput",
}

@InProceedings{Gebali:2011:FPA,
  author =       "Fayez Gebali",
  booktitle =    "{Proceedings of the 2011 IEEE National Aerospace and
                 Electronics Conference (NAECON)}",
  title =        "Floating-point adaptive {CORDIC} ({FPA-CORDIC})
                 algorithm for elementary function calculation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "46--50",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/NAECON.2011.6183075",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive algorithms; Computers; Convergence; CORDIC;
                 DSP applications; Educational institutions; Elementary
                 function calculation; Equations; Mathematical model;
                 Merged scaling; Noise; Scientific calculations;
                 Vectors",
}

@InProceedings{Gong:2011:FIC,
  author =       "Ren-Xi Gong and Jiong-Quan Wei and Dan Sun and
                 Ling-Ling Xie and Peng-Fei Shu and Xiao-Bi Meng",
  booktitle =    "{2011 Seventh International Conference on Natural
                 Computation}",
  title =        "{FPGA} implementation of a {CORDIC}-based radix-4
                 {FFT} processor for real-time harmonic analyzer",
  volume =       "4",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1832--1835",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICNC.2011.6022441",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; FFT; Field programmable
                 gate arrays; FPGA; Harmonic analysis; Power system
                 harmonics; Radix-4; Real time systems; Signal
                 processing algorithms",
}

@InProceedings{Gonzalez-Espin:2011:ECA,
  author =       "Fran Gonz{\'a}lez-Esp{\'\i}n and Emilio Figueres and
                 Gabriel Garcer{\'a} and Iv{\'a}n Patrao",
  booktitle =    "{2011 IEEE International Symposium on Industrial
                 Electronics}",
  title =        "An efficient {CORDIC} arithmetic unit for 3-phase
                 voltage grid synchronization",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "125--130",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ISIE.2011.5984144",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Calculus; Harmonic analysis; Low pass filters; Power
                 harmonic filters; Synchronization; Topology",
}

@InProceedings{Gopikiran:2011:FIF,
  author =       "G. Gopikiran and R. Thilagavathy",
  booktitle =    "{2011 International Conference on Signal Processing,
                 Communication, Computing and Networking Technologies}",
  title =        "{FPGA} implementation of floating-point rotation mode
                 {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "506--508",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICSCCN.2011.6024604",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CORDIC; Digital signal processing; Equations;
                 Field programmable gate arrays; Floating point; FPGA;
                 Hardware; Signal processing algorithms; Transmission
                 line matrix methods",
}

@InProceedings{Guan:2011:HIW,
  author =       "Xin Guan and Henry Zmuda and Jian Li and Lin Du and
                 Mark Sheplak",
  booktitle =    "{2011 IEEE International Symposium on Antennas and
                 Propagation (APSURSI)}",
  title =        "Hardware implementation of wideband time domain Robust
                 Capon Beamforming",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2849--2852",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/APS.2011.5997120",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Array signal
                 processing; Arrays; CORDIC; Eigenvalue Decomposition;
                 Field programmable gate arrays; FPGA; Hardware; Robust
                 Capon Beamforming; Robustness; Signal processing
                 algorithms",
}

@InProceedings{Guo:2011:ARV,
  author =       "Zi-wei Guo and Ling-juan Miao and Jun Shen",
  booktitle =    "2011 International Conference on Electronics,
                 Communications and Control {(ICECC)}",
  title =        "The analysis on rotation vector algorithm of atypical
                 conic motion in pseudo orthogonal coordinate",
  publisher =    "IEEE",
  pages =        "514--518",
  month =        sep,
  year =         "2011",
  DOI =          "https://doi.org/10.1109/icecc.2011.6067571",
  bibdate =      "Wed Nov 12 08:10:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@InProceedings{Hatai:2011:AIP,
  author =       "Indranil Hatai and Rakesh Biswas and Swapna Banerjee",
  booktitle =    "{IEEE Technology Students' Symposium}",
  title =        "{ASIC} implementation of a 512-point {FFT\slash IFFT}
                 processor for {2D} {CT} image reconstruction
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "220--225",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/TECHSYM.2011.5783849",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Convolution; Coordinate Rotation Digital Computer
                 (CORDIC); CT images; Discrete Fourier transforms;
                 FFT/IFFT; Instruction sets; Modified Fast Radon
                 Transform (MFRT); Random access memory",
}

@InProceedings{Hsiao:2011:DAR,
  author =       "Shen-Fu Hsiao and Cheng-Han Lee and Yen-Chun Cheng and
                 Andrew Lee",
  booktitle =    "{2011 Conference Record of the Forty Fifth Asilomar
                 Conference on Signals, Systems and Computers
                 (ASILOMAR)}",
  title =        "Designs of angle-rotation in digital frequency
                 synthesizer\slash mixer using multi-stage
                 architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2181--2185",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ACSSC.2011.6190418",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adders; angle rotation; Approximation
                 methods; CORDIC; digital frequency mixer; direct
                 digital frequency synthesizer; Frequency synthesizers;
                 quadrature modulation; Read only memory; Table lookup",
}

@InBook{Huang:2011:FIN,
  author =       "J.-M. Huang and Z. Chen and H. Guo and K. Han",
  booktitle =    "Advances in Computer Science, Intelligent System and
                 Environment",
  title =        "{FPGA} Implementation of a Novel Type {DDS} Based on
                 {CORDIC} Algorithm",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "183--188",
  year =         "2011",
  DOI =          "https://doi.org/10.1007/978-3-642-23756-0_30",
  ISBN =         "3-642-23756-8",
  ISBN-13 =      "978-3-642-23756-0",
  ISSN =         "1867-5670",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Jie:2011:FOR,
  author =       "Lijun Jie and Shan Ouyang and Qihong Liu and Liangnian
                 Jin",
  booktitle =    "{2011 6th IEEE Conference on Industrial Electronics
                 and Applications}",
  title =        "A fast optimization realization algorithm of
                 through-the-wall radar imaging",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2227--2230",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICIEA.2011.5975960",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Cordic;
                 Imaging; imaging; Iterative methods; Newton's method;
                 Radar imaging; Real time systems; through-the-wall
                 radar",
}

@InProceedings{Juntao:2011:IGC,
  author =       "Zhang Juntao and Ma Wenbo",
  booktitle =    "{2011 IEEE International Conference on Computer
                 Science and Automation Engineering}",
  title =        "Implementation of general {CORDIC} {IP} core based on
                 {FPGA}",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "606--608",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/CSAE.2011.5952751",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "algorithm; coordinate conversion; CORDIC; FPGA;
                 implementation",
}

@Article{Kaivani:2011:DCR,
  author =       "Amir Kaivani and Ghassem Jaberipur",
  title =        "Decimal {CORDIC} Rotation based on Selection by
                 Rounding: Algorithm and Architecture",
  journal =      j-COMP-J,
  volume =       "54",
  number =       "11",
  pages =        "1798--1809",
  year =         "2011",
  CODEN =        "CMPJA6",
  DOI =          "https://doi.org/10.1093/comjnl/bxr012",
  ISSN =         "0010-4620 (print), 1460-2067 (electronic)",
  ISSN-L =       "0010-4620",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "The Computer Journal",
  journal-URL =  "http://comjnl.oxfordjournals.org/",
  keywords =     "decimal computer arithmetic; decimal CORDIC; retiming;
                 selection by rounding",
}

@Article{Kao:2011:HPC,
  author =       "Chi-Chou Kao",
  title =        "High-performance {CORDIC} rotation algorithm based on
                 look-ahead techniques",
  journal =      j-INT-J-ELECTRON,
  volume =       "98",
  number =       "8",
  pages =        "1075--1089",
  month =        aug,
  year =         "2011",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2011.593143",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@InProceedings{Kim:2011:PCT,
  author =       "Sunho Kim and Jino Oh and Sungbin Im",
  booktitle =    "{2011 IEEE International Conference on Consumer
                 Electronics (ICCE)}",
  title =        "Performance comparison of {Taylor} series
                 approximation and {CORDIC} algorithm for open-loop
                 polar transmitters",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "425--426",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICCE.2011.5722664",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Conferences; Consumer electronics",
}

@InProceedings{Lakshmi:2011:PCL,
  author =       "B. Lakshmi and A. S. Dhar",
  booktitle =    "{TENCON 2011 --- 2011 IEEE Region 10 Conference}",
  title =        "Parallel {CORDIC-like} architecture: For fast rotation
                 implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "701--705",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/TENCON.2011.6129199",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; CORDIC algorithm;
                 CORDIC-like fast rotator; Delay; Read only memory;
                 rotation mode; Signal processing algorithms; Vectors;
                 Very large scale integration; VLSI architecture",
}

@Article{Lakshmi:2011:VAL,
  author =       "B. Lakshmi and A. S. Dhar",
  title =        "{VLSI} architecture for low latency radix-4 {CORDIC}",
  journal =      j-COMPUT-ELECTR-ENG,
  volume =       "37",
  number =       "6",
  pages =        "1032--1042",
  year =         "2011",
  CODEN =        "CPEEBQ",
  DOI =          "https://doi.org/10.1016/j.compeleceng.2011.07.011",
  ISSN =         "0045-7906 (print), 1879-0755 (electronic)",
  ISSN-L =       "0045-7906",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0045790611001133",
  acknowledgement = ack-nhfb,
  fjournal =     "Computers and Electrical Engineering",
}

@InProceedings{Li:2011:DAI,
  author =       "YuJing Li and LinTao Liu and Xu Huang and RuiTao Zhang
                 and RuZhang Li",
  booktitle =    "{2011 Second International Conference on Digital
                 Manufacturing \& Automation}",
  title =        "Design and {ASIC} Implementation of High-Speed {DDC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "365--368",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICDMA.2011.96",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; DDC; Filter banks; Finite impulse response
                 filter; Hardware; High-speed; Mathematical model;
                 Mixers; modified cordic Arithmetic",
}

@InProceedings{Li:2011:HSD,
  author =       "Nan Li and Hui Xu and Wei Wang and Weida Zhang",
  booktitle =    "{2011 4th International Conference on Biomedical
                 Engineering and Informatics (BMEI)}",
  title =        "High-speed digital-controlled variable voltage source
                 with current monitor for {EIT} application",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1110--1113",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/BMEI.2011.6098395",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Biomedical monitoring; CORDIC; Current
                 monitor; EIT; Field programmable gate arrays; FPGA;
                 Gain; Monitoring; Sensors; Tomography; Variable Voltage
                 source",
}

@InProceedings{Li:2011:IIP,
  author =       "Xiuliang Li and Hongsheng Li and Bo Yang",
  booktitle =    "{2011 International Conference on Electrical and
                 Control Engineering}",
  title =        "The implementation of improved phase projection method
                 in {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4146--4149",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICECENG.2011.6057112",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; cordic algorithm;
                 Digital signal processing; Educational institutions;
                 Field programmable gate arrays; frequency measurement;
                 Frequency measurement; Hardware design languages; phase
                 projection method; Signal processing algorithms;
                 silicon micro-accelerometer; Simulink simulation;
                 Verilog HDL",
}

@InProceedings{Liao:2011:CBI,
  author =       "Wei-Ting Liao and W.-C. Chou and Wen-Yen Lin and Kin
                 Fong Lei and Wen-Wei Tsai and Ming-Yih Lee",
  booktitle =    "{2011 4th International Conference on Biomedical
                 Engineering and Informatics (BMEI)}",
  title =        "{CORDIC}-based inclination sensing algorithm using
                 three-axis accelerometer-based inertial sensors",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "708--712",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/BMEI.2011.6098459",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "accelerometer; Accelerometers; algorithm; CORDIC;
                 Equations; Gravity; inclination sensing;
                 Microcontrollers; Monitoring; Sensors; Vectors",
}

@InProceedings{Mandai:2011:DIC,
  author =       "Amritakar Mandai and B. K. Kaushik and Brijesh Kumar
                 and R. P. Agarwal",
  booktitle =    "{India International Conference on Power Electronics
                 2010 (IICPE2010)}",
  title =        "Design and implementation of {CORDIC} processor for
                 complex {DPLL}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/IICPE.2011.5728075",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Demodulation; Digital Signal Processing; DPLL;
                 Equations; Loop performance; Low pass filters;
                 Micro-rotation; Pipelined Architecture; Signal
                 processing algorithms; Very large scale integration",
}

@InProceedings{Mandal:2011:LAB,
  author =       "Amritakar Mandal and B. K. Kaushik and Brijesh Kumar
                 and K. C. Tyagi and R. P. Agarwal",
  booktitle =    "{2011 International Conference on Emerging Trends in
                 Networks and Computer Communications (ETNCC)}",
  title =        "{LMS} algorithm based error correction technique in
                 in-phase and quadrature channel signal processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "226--229",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ETNCC.2011.6255891",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Computer architecture; CORDIC;
                 Filtering algorithms; Finite impulse response filter;
                 FIR filter; I/Q imbalance; Least squares approximation;
                 LMS algorithm; Local Oscillator; Low Pass Filter;
                 Receivers; Signal processing algorithms",
}

@Article{Martin:2011:WHS,
  author =       "Grant Martin",
  title =        "Will hardware and software be codesigned? [{Review} of
                 \booktitle{A Practical Introduction to Hardware\slash
                 Software Codesign} ({Schaumont, P.R.}; 2010)]",
  journal =      j-IEEE-DES-TEST-COMPUT,
  volume =       "28",
  number =       "2",
  pages =        "70--73",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/MDT.2011.41",
  ISSN =         "0740-7475 (print), 1558-1918 (electronic)",
  ISSN-L =       "0740-7475",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Design \& Test of Computers",
  keywords =     "ASIP; Book reviews; codesign; Cordic; design and test;
                 Design methodology; Gezel; Hardware; hardware/software;
                 HDL; Software design",
}

@InProceedings{Neji:2011:AFI,
  author =       "Nihel Neji and Anis Boudabous and Wajdi Kharrat and
                 Nouri Masmoudi",
  booktitle =    "{Eighth International Multi-Conference on Systems,
                 Signals \& Devices}",
  title =        "Architecture and {FPGA} implementation of the {CORDIC}
                 algorithm for fingerprints recognition systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/SSD.2011.5767426",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Convergence; CORDIC algorithm; Delay; Field
                 programmable gate arrays; fingerprint; Fingerprint
                 recognition; FPGA; hardware; rotation and vectoring
                 mode; Signal processing algorithms; VHDL",
}

@InProceedings{Nilsson:2011:PRU,
  author =       "Peter Nilsson and Syed Nadeemuddin",
  booktitle =    "{2011 20th European Conference on Circuit Theory and
                 Design (ECCTD)}",
  title =        "Power reductions in unrolled {CORDIC} architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "705--708",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ECCTD.2011.6043641",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Approximation methods; Complexity theory;
                 Computer architecture; Hardware; Power demand;
                 Transistors",
}

@Article{Oruklu:2011:RML,
  author =       "Erdal Oruklu and Xin Xiao and Jafar Saniie",
  title =        "Reduced Memory and Low Power Architectures for
                 {CORDIC}-based {FFT} Processors",
  journal =      "Journal of Signal Processing Systems",
  volume =       "66",
  number =       "2",
  pages =        "129--134",
  month =        apr,
  year =         "2011",
  DOI =          "https://doi.org/10.1007/s11265-011-0586-x",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@InProceedings{Penubolu:2011:VIS,
  author =       "Sudhakara Reddy Penubolu and Ramachandra Reddy
                 Gudheti",
  booktitle =    "{2011 IEEE 3rd International Conference on
                 Communication Software and Networks}",
  title =        "{VLSI} implementation of synchronizer and pipelined
                 {CORDIC} in {OFDM} receiver for fourth generation
                 wireless {LAN} applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "312--315",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICCSN.2011.6013722",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ASIC; CORDIC; Correlation; Digital signal processing;
                 FPGA; OFDM; Signal processing algorithms;
                 Synchronization; Synchronizer; Very large scale
                 integration; VLSI; Wireless communication",
}

@InProceedings{Pouyan:2011:VIL,
  author =       "Peyman Pouyan and Erik Hertz and Peter Nilsson",
  booktitle =    "{2011 20th European Conference on Circuit Theory and
                 Design (ECCTD)}",
  title =        "A {VLSI} implementation of logarithmic and exponential
                 functions using a novel parabolic synthesis methodology
                 compared to the {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "709--712",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ECCTD.2011.6043642",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Application
                 specific integrated circuits; Approximation methods;
                 Computer architecture; CORDIC; Exponential; Field
                 programmable gate arrays; FPGA; Hardware; Logarithmic;
                 Parabolic Synthesis; VLSI",
}

@Article{Qu:2011:DDB,
  author =       "Xiujie Qu and He Chen and Yubin Zhang and Yingtao
                 Ding",
  title =        "Design of {DDS} based on Hybrid-{CORDIC}
                 Architecture",
  journal =      "International Journal of Computational Intelligence
                 Systems",
  volume =       "4",
  number =       "3",
  pages =        "306--313",
  month =        may,
  year =         "2011",
  DOI =          "https://doi.org/10.1080/18756891.2011.9727787",
  ISSN =         "1875-6883",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@TechReport{Roegel:2011:RTB,
  author =       "Denis Roegel",
  title =        "A reconstruction of the tables of {Briggs}'
                 \booktitle{Arithmetica logarithmica} (1624)",
  type =         "Report",
  number =       "????",
  institution =  inst-LORIA-INRIA-LORRAINE,
  address =      inst-LORIA-INRIA-LORRAINE:adr,
  pages =        "334",
  day =          "11",
  month =        jan,
  year =         "2011",
  bibdate =      "Mon Nov 10 08:51:59 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  note =         "Revised 30 November 2014. See
                 \cite{Briggs:1624:ALL}.",
  URL =          "https://locomat.loria.fr/briggs1624/briggs1624doc.pdf",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ross:2011:DRI,
  author =       "Dian-Marie Ross and Scott Miller and Mihai Sima and
                 Curran Crawford",
  booktitle =    "{Proceedings of 2011 IEEE Pacific Rim Conference on
                 Communications, Computers and Signal Processing}",
  title =        "Design rules for implementing {CORDIC} on {FPGAs}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "797--802",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/PACRIM.2011.6032996",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Delay; Field
                 programmable gate arrays; Hardware; Multiplexing;
                 Routing; Table lookup",
}

@InProceedings{Ross:2011:ESP,
  author =       "Dian-Marie Ross and Scott Miller and Mihai Sima and
                 Michael McGuire",
  booktitle =    "{2011 Conference Record of the Forty Fifth Asilomar
                 Conference on Signals, Systems and Computers
                 (ASILOMAR)}",
  title =        "Exploration of sign precomputation-based {CORDIC} in
                 reconfigurable systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2186--2191",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ACSSC.2011.6190419",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Delay; Field
                 programmable gate arrays; Mathematical model; Read only
                 memory; Table lookup; Vectors",
}

@InProceedings{Samman:2011:RSP,
  author =       "Faizal A. Samman and Pongyupinpanich Surapong and
                 Manfred Glesner",
  booktitle =    "{6th International Workshop on Reconfigurable
                 Communication-Centric Systems-on-Chip (ReCoSoC)}",
  title =        "Reconfigurable streaming processor core with
                 interconnected floating-point arithmetic units for
                 multicore adaptive signal processing systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ReCoSoC.2011.5981539",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptation models; Adaptive Signal Processing;
                 Adaptronic Application; CORDIC Algorithm;
                 Floating-Point Arithmetic; Least squares approximation;
                 Multicore processing; Multiplexing; Random access
                 memory; Reconfigurable Streaming Processor; Signal
                 processing algorithms",
}

@Article{Sanchez-Romero:2011:FAD,
  author =       "Jose-Luis Sanchez-Romero and Higinio Mora-Mora and
                 Jeronimo Mora-Pascual and Antonio Jimeno-Morenilla",
  title =        "Function approximation on decimal operands",
  journal =      j-DIGIT-SIGNAL-PROCESS,
  volume =       "21",
  number =       "2",
  pages =        "354--366",
  year =         "2011",
  CODEN =        "DSPREJ",
  DOI =          "https://doi.org/10.1016/j.dsp.2010.06.013",
  ISSN =         "1051-2004 (print), 1095-4333 (electronic)",
  ISSN-L =       "1051-2004",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1051200410001557",
  acknowledgement = ack-nhfb,
  fjournal =     "Digital Signal Processing",
  journal-URL =  "https://www.sciencedirect.com/journal/digital-signal-processing",
  keywords =     "CORDIC; Decimal arithmetic; Function approximation",
}

@InProceedings{Sarbishei:2011:FPA,
  author =       "Omid Sarbishei and Katarzyna Radecka",
  booktitle =    "{2011 IEEE 20th Symposium on Computer Arithmetic}",
  title =        "On the Fixed-Point Accuracy Analysis and Optimization
                 of {FFT} Units with {CORDIC} Multipliers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "62--69",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ARITH.2011.17",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Analytical models;
                 CORDIC Complex Multiplier; Fast Fourier Transform;
                 Fixed-Point Number Format; Hardware; Mean-Square-Error;
                 Optimization; Quantization;
                 Signal-to-Quantization-Noise-Ratio; Table lookup",
}

@Article{Senthilvelan:2011:CIL,
  author =       "Murugappan Senthilvelan and Meng Yu and Daniel Iancu
                 and Mihai Sima and Michael Schulte",
  title =        "{CORDIC} instructions for {LDPC} decoding on {SDR}
                 platforms",
  journal =      "Analog Integrated Circuits and Signal Processing",
  volume =       "69",
  number =       "2--3",
  pages =        "191--206",
  month =        jul,
  year =         "2011",
  DOI =          "https://doi.org/10.1007/s10470-011-9683-9",
  ISSN =         "1573-1979",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sharma:2011:DFS,
  author =       "Anita Sharma and R. D. Daruwala",
  booktitle =    "{2011 IEEE 3rd International Conference on
                 Communication Software and Networks}",
  title =        "Digital frequency(sinusoidal) synthesizer using
                 {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "521--524",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICCSN.2011.6013885",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Digital signal processing; digital
                 sinusoidal generators; Direct Digital synthesizer(DDS);
                 phase to sine amplitude conversion; Read only memory;
                 VHDL",
}

@MastersThesis{Sun:2011:RRD,
  author =       "Pan Pan Sun",
  title =        "The research and realization of {DDS} based on
                 improved {CORDIC} algorithm",
  type =         "{Master's} thesis",
  school =       "Beijing Institute of Technology",
  address =      "Beijing (People's Republic of China)",
  year =         "2011",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/research-realization-dds-based-on-improved-cordic/docview/1874930333/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Xiu Jie Qu",
  keywords =     "(UMI)AAI10579947; 0544:Electrical engineering; Applied
                 sciences; Electrical engineering",
  ris-m1 =       "10579947",
}

@InProceedings{Surapong:2011:PFP,
  author =       "Pongyupinpanich Surapong and Manfred Glesner",
  booktitle =    "{2011 21st International Conference on Field
                 Programmable Logic and Applications}",
  title =        "Pipelined Floating-Point Architecture for a Phase and
                 Magnitude Detector Based on {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "382--384",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/FPL.2011.74",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Control systems; CORDIC;
                 Detectors; Field programmable gate arrays;
                 floating-point computation; Hardware; phase and
                 magnitude detector; Pipeline processing; Silicon",
}

@Misc{Vashkevich:2011:FIS,
  author =       "Maxim Vashkevich and Marek Parfieniuk and Alexander
                 Petrovsky",
  title =        "{FPGA} implementation of short critical path
                 {CORDIC}-based approximation of the eight-point {DCT}",
  year =         "2011",
  DOI =          "https://doi.org/10.48550/arXiv.1110.6865",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1110.6865",
  abstract =     "This paper presents an efficient approach for
                 multiplierless implementation for eight-point DCT
                 approximation, which based on coordinate rotation
                 digital computer (CORDIC) algorithm. The main design
                 objective is to make critical path of corresponding
                 circuits shorter and reduce the combinational delay of
                 proposed scheme.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "1110.6865",
  primaryclass = "cs.AR",
}

@MastersThesis{Yang:2011:CMD,
  author =       "Yu Chen Yang",
  title =        "{C} model design and verification of floating-point
                 {CORDIC} for hardware implementation",
  type =         "{Master's} thesis",
  school =       "Xian Jiaotong University",
  address =      "Xian, People's Republic of China",
  year =         "2011",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://login.ezproxy.lib.utah.edu/login?url=https://www.proquest.com/dissertations-theses/c-model-design-verification-floating-point-cordic/docview/1874930256/se-2",
  acknowledgement = ack-nhfb,
  advisor =      "Kui Zhi Mei",
  keywords =     "(UMI)AAI10542507; 0984:Computer science; Applied
                 sciences; Computer science",
  ris-m1 =       "10542507",
}

@InProceedings{Younis:2011:CBA,
  author =       "S. Younis and A. Al-Dweik and C. C. Tsimenidis and B.
                 S. Sharif and A. Hazmi",
  booktitle =    "{2011 IEEE International Symposium on Signal
                 Processing and Information Technology (ISSPIT)}",
  title =        "{CORDIC} based architecture for blind {CFO} estimation
                 in {OFDM} systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "359--362",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ISSPIT.2011.6151588",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Computer architecture; Cost function;
                 Estimation; Hardware; OFDM; Synchronization",
}

@InProceedings{Zeng:2011:ERB,
  author =       "Peng Zeng and Fanshui Lu and Xingqun Zhao",
  booktitle =    "{2011 4th International Congress on Image and Signal
                 Processing}",
  title =        "The efficient realization of {B} mode ultrasonic
                 Digital Scan Conversion based on radix-4 {CORDIC}
                 Algorithm",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2683--2686",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/CISP.2011.6100702",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustics; Computer architecture; coordinate
                 transform; DSC; Field programmable gate arrays; FPGA
                 architecture; Hardware; Iterative algorithms;
                 Mathematical models; radix-4 CORDIC algorithm; Signal
                 processing algorithms; Transforms; Ultrasonic imaging;
                 Vectors",
}

@InProceedings{Zhang:2011:DCI,
  author =       "YanJia Zhang and WeiTao Du and YaHui Hou",
  booktitle =    "{2011 International Conference on Electric Information
                 and Control Engineering}",
  title =        "Design of {CORDIC} {IP} Compiler",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4100--4102",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICEICE.2011.5778159",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Field
                 programmable gate arrays; Finite wordlength effects; IP
                 Compiler; IP networks; MATLAB; Phase modulation;
                 pipeline; Pipelines; truncation error",
}

@Article{Zhang:2011:DDB,
  author =       "Yubin Zhang and He Chen and Yingtao Ding and Xiujie
                 Qu",
  title =        "Design of {DDS} based on {Hybird-CORDIC}
                 Architecture",
  journal =      "International Journal of Computational Intelligence
                 Systems",
  volume =       "4",
  number =       "3",
  pages =        "306",
  year =         "2011",
  DOI =          "https://doi.org/10.2991/ijcis.2011.4.3.3",
  ISSN =         "1875-6883",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  remark =       "The paper consistently uses hybird instead of
                 hybrid.",
}

@InProceedings{Zhao:2011:PBD,
  author =       "Zhanxiang Zhao and Tian Xiang and Ming Gao and
                 Chenghao He and Xin Zhang and Xi Jin",
  booktitle =    "{2011 IEEE International Conference on Computer
                 Science and Automation Engineering}",
  title =        "A pipelined beamforming delay calculation architecture
                 in ultrasound imaging system",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "162--166",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/CSAE.2011.5953195",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; beamforming; Calculators;
                 CLA; Computer architecture; CORDIC; Delay; delay
                 calculation; Field programmable gate arrays; Imaging;
                 Ultrasonic imaging; ultrasound",
}

@InProceedings{Zhao:2011:PHP,
  author =       "Zhanxiang Zhao and Xi Jin and Xin Zhang",
  booktitle =    "{2011 International Conference on Field-Programmable
                 Technology}",
  title =        "Pipelined high precision beamforming delay calculator
                 for ultrasound imaging",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/FPT.2011.6132718",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; beamforming; Calculators;
                 CORDIC; Delay; delay calculator; Field programmable
                 gate arrays; Imaging; Transducers; Ultrasonic imaging;
                 ultrasound imaging",
}

@InProceedings{Zhihua:2011:DNB,
  author =       "Lei Zhihua and Wang Weilian",
  booktitle =    "{2011 International Conference on Electronics,
                 Communications and Control (ICECC)}",
  title =        "The design of {NCO} based on {CORDIC} algorithm and
                 implementation in {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2902--2905",
  year =         "2011",
  DOI =          "https://doi.org/10.1109/ICECC.2011.6066683",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Digital Down Converter; Educational
                 institutions; Equations; Field programmable gate
                 arrays; FPGA; Mathematical model; NCO; Signal
                 processing algorithms",
}

@Article{Aggarwal:2012:ATE,
  author =       "Supriya Aggarwal and Pramod K. Meher and Kavita
                 Khare",
  title =        "Area-Time Efficient Scaling-Free {CORDIC} Using
                 Generalized Micro-Rotation Selection",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "20",
  number =       "8",
  pages =        "1542--1546",
  year =         "2012",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2011.2158459",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Accuracy; Approximation methods; Computer
                 architecture; Convergence; coordinate rotation digital
                 computer (CORDIC); cosine/sine; field-programmable gate
                 array (FPGA); most-significant-1; recursive
                 architecture; Signal processing algorithms; Taylor
                 series; Very large scale integration",
}

@Article{Aggarwal:2012:DTT,
  author =       "Supriya Aggarwal and Kavita Khare",
  title =        "Design Techniques Targeting Low-Area Power-Delay
                 Product in Hyperbolic {CORDIC} Algorithm",
  journal =      j-COMP-J,
  volume =       "55",
  number =       "5",
  pages =        "616--628",
  year =         "2012",
  CODEN =        "CMPJA6",
  DOI =          "https://doi.org/10.1093/comjnl/bxr109",
  ISSN =         "0010-4620 (print), 1460-2067 (electronic)",
  ISSN-L =       "0010-4620",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "The Computer Journal",
  journal-URL =  "http://comjnl.oxfordjournals.org/",
  keywords =     "activation functions; CORDIC algorithm; exponents;
                 hyperbolic functions; most-significant-one; Taylor
                 series",
}

@InProceedings{Aggarwal:2012:HEA,
  author =       "Supriya Aggarwal and Kavita Khare",
  booktitle =    "{2012 25th International Conference on VLSI Design}",
  title =        "Hardware Efficient Architecture for Generating
                 Sine\slash Cosine Waves",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "57--61",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/VLSID.2012.46",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Approximation methods; Computer
                 architecture; CORDIC Algorithm; Cosine; Hardware;
                 Leading-One Bit; Signal processing algorithms; Sine;
                 Taylor series; Taylor Series",
}

@Article{Aggarwal:2012:LCV,
  author =       "Supriya Aggarwal and Kavita Khare",
  title =        "Low complexity {VLSI} implementation of {CORDIC-based}
                 exponent calculation for neural networks",
  journal =      j-INT-J-ELECTRON,
  volume =       "99",
  number =       "11",
  pages =        "1471--1488",
  month =        nov,
  year =         "2012",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2012.669892",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@Article{Aggarwal:2012:LOD,
  author =       "Supriya Aggarwal and Kavita Khare",
  title =        "Leading One Detection Hyperbolic {CORDIC} with
                 Enhanced Range of Convergence",
  journal =      "Journal of Signal Processing Systems",
  volume =       "70",
  number =       "1",
  pages =        "49--57",
  month =        feb,
  year =         "2012",
  DOI =          "https://doi.org/10.1007/s11265-012-0658-6",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@Article{Aggarwal:2012:RSF,
  author =       "Supriya Aggarwal and Kavita Khare",
  title =        "Redesigned-Scale-Free {CORDIC} Algorithm Based {FPGA}
                 Implementation of Window Functions to Minimize Area and
                 Latency",
  journal =      "International Journal of Reconfigurable Computing",
  volume =       "2012",
  number =       "1",
  pages =        "185784",
  year =         "2012",
  DOI =          "https://doi.org/10.1155/2012/185784",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1155/2012/185784",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1155/2012/185784",
}

@InProceedings{Alim:2012:MCH,
  author =       "F. Ferhat-taleb Alim and K. Messaoudi and S. Seddiki
                 and O. Kerdjidj",
  booktitle =    "{2012 24th International Conference on
                 Microelectronics (ICM)}",
  title =        "Modified circular {Hough} transform using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICM.2012.6471412",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; cordic algorithm; Field
                 programmable gate arrays; FPGA; Hough Transform; Image
                 edge detection; iris detection; MATLAB; Software
                 algorithms; Switches; Transforms; V2MB1000",
}

@InProceedings{An:2012:TFA,
  author =       "Ting An and Matteo Causo and Lirida Alves de Barros
                 Naviner and Philippe Matherat",
  booktitle =    "{2012 19th IEEE International Conference on
                 Electronics, Circuits, and Systems (ICECS 2012)}",
  title =        "Transient fault analysis of {CORDIC} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "757--760",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICECS.2012.6463644",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Arithmetic Operators; Circuit faults; Computer
                 architecture; CORDIC; Fault tolerance; Fault tolerant
                 systems; Fault-tolerance; Logic gates;
                 Selective-Hardening; Transient analysis; Transient
                 Fault",
}

@Article{Banerjee:2012:PVA,
  author =       "Ayan Banerjee and Anindya Sundar Dhar",
  title =        "Pipelined {VLSI} Architecture using {CORDIC} for
                 Transform Domain Equalizer",
  journal =      "Journal of Signal Processing Systems",
  volume =       "70",
  number =       "1",
  pages =        "39--48",
  month =        feb,
  year =         "2012",
  DOI =          "https://doi.org/10.1007/s11265-012-0657-7",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@InProceedings{Bi:2012:FCD,
  author =       "Zhuo Bi and Yijun Dai",
  booktitle =    "{2012 IEEE Fifth International Conference on Advanced
                 Computational Intelligence (ICACI)}",
  title =        "Full custom datapath of 16-bit {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "993--998",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICACI.2012.6463320",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Delay; Latches; Layout;
                 Pipelines; Registers",
}

@InProceedings{Brahmachari:2012:LPG,
  author =       "Amrita Brahmachari and Roy P. Paily",
  booktitle =    "{2012 Ninth International Conference on Wireless and
                 Optical Communications Networks (WOCN)}",
  title =        "Low power 2.4 {GHz} {RF} transmitter for satellite
                 subsystem using {CORDIC} based frequency translator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/WOCN.2012.6335522",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Frequency modulation;
                 Mixers; Offset Quadrature Phase Shift Keying
                 modulation; Power Amplifier; Radio frequency;
                 Telecommand and Telemetry System; Transmitters;
                 Zigbee",
}

@Article{Canet:2012:FIO,
  author =       "Mar{\'\i}a Jos{\'e} Canet and Javier Valls and
                 Vicen{\c{c}} Almenar and Jos{\'e} Mar{\'\i}n-Roig",
  title =        "{FPGA} implementation of an {OFDM}-based {WLAN}
                 receiver",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "36",
  number =       "3",
  pages =        "232--244",
  year =         "2012",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2011.11.004",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933112000142",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "FPGA; OFDM; Synchronization; WLAN receiver",
}

@InProceedings{Causo:2012:PSF,
  author =       "Matteo Causo and Ting An and Lirida Alves de Barros
                 Naviner",
  booktitle =    "{2012 19th IEEE International Conference on
                 Electronics, Circuits, and Systems (ICECS 2012)}",
  title =        "Parallel scaling-free and area-time efficient {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "149--152",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICECS.2012.6463778",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Arithmetic processor; ASIC; Complexity
                 theory; Computer architecture; CORDIC algorithm; CORDIC
                 implementation; Nickel; Signal processing algorithms;
                 Taylor series; Vectors",
}

@Article{Chen:2012:IDF,
  author =       "Dongdong Chen and Liu Han and Younhee Choi and
                 Seok-Bum Ko",
  title =        "Improved Decimal Floating-Point Logarithmic Converter
                 Based on Selection by Rounding",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "61",
  number =       "5",
  pages =        "607--621",
  month =        may,
  year =         "2012",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2011.43",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Fri Apr 6 18:50:40 2012",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput2010.bib",
  abstract =     "This paper presents the algorithm and architecture of
                 the decimal floating-point (DFP) logarithmic converter,
                 based on the digit-recurrence algorithm with selection
                 by rounding. The proposed approach can compute faithful
                 DFP logarithm results for any one of the three DFP
                 formats specified in the IEEE 754-2008 standard. In
                 order to optimize the latency for the proposed design,
                 we mainly integrate the following novel features: (1)
                 using the redundant carry-save representation of the
                 data-path; (2) reducing the number of iterations by
                 determining the number of initial iteration; and (3)
                 retiming and balancing the delay of the proposed
                 architecture. The proposed architecture is synthesized
                 with STM 90-nm standard cell library and the results
                 show that the critical path delay and the number of
                 clock cycles of the proposed Decimal64 logarithmic
                 converter are 1.55 ns (34.4 FO4) and 19 respectively,
                 and the total hardware complexity is 43572 NAND2 gates.
                 The delay estimation results of the proposed
                 architecture show that its latency is close to that of
                 the binary radix-16 logarithmic converter, and that it
                 has a significant decrease on latency compared with a
                 recently published high performance CORDIC
                 implementation.",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
}

@InProceedings{Das:2012:DIF,
  author =       "Abhishek Das and Suraj Dash and A. K. Sahoo and B.
                 Chitti Babu",
  booktitle =    "{2012 Annual IEEE India Conference (INDICON)}",
  title =        "Design and implementation of {FPGA} based linear all
                 digital phase-locked loop",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "280--285",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/INDCON.2012.6420629",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Band pass filters; CORDIC algorithm; Field
                 programmable gate arrays; FPGA Implementation; Hilbert
                 Transform; IIR filters; Phase detection; Phase
                 detector; Phase locked loop; Phase locked loops; Signal
                 processing; Table lookup; Transforms",
}

@InProceedings{Das:2012:NPD,
  author =       "Abhishek Das and Suraj Dash and B. Chitti Babu and
                 Ajit Kumar Sahoo",
  booktitle =    "{2012 Students Conference on Engineering and
                 Systems}",
  title =        "A novel phase detection system for linear all-digital
                 phase locked loop",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/SCES.2012.6199080",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Band pass filters; CORDIC algorithm; Filtering
                 algorithms; Finite impulse response filter; Hilbert
                 Transform; IIR filters; Information filters; Phase
                 detection; Phase detector; Phase locked loop; Phase
                 locked loops; Signal processing",
}

@InProceedings{deLima:2012:IRA,
  author =       "E. R. de Lima and T. Barros and G. S. Teles and V.
                 Pimenta and M. A. J. Perez and J. P. S. Vermeeren and
                 J. E. Bertuzzo",
  booktitle =    "{2012 IEEE Second International Conference on Consumer
                 Electronics --- Berlin (ICCE-Berlin)}",
  title =        "{ISDB-T} receiver architecture and {VLSI}
                 implementation in 65 nm {CMOS}, for Fixed-Reception
                 high definition Digital Television",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "116--120",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICCE-Berlin.2012.6336495",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithms; Application specific integrated circuits;
                 Architecture; Communication standards; CORDIC; Data
                 communication; Digital multimedia broadcasting; Field
                 programmable gate arrays; IC-Brazil; ISBD-Tb;
                 Multiplexing; Receivers; VLSI",
}

@InProceedings{Dong:2012:ISP,
  author =       "Chen Dong and Chen He and Sun Xing and Pang Long",
  booktitle =    "{2012 International Conference on Control Engineering
                 and Communication Technology}",
  title =        "Implementation of Single-Precision Floating-Point
                 Trigonometric Functions with Small Area",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "589--592",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICCECT.2012.186",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Equations; Field programmable gate arrays;
                 floating-point; FPGA; Hardware; Mathematical model;
                 Transforms; trigonometric functions",
}

@InProceedings{Gao:2012:DDC,
  author =       "Li-Peng Gao and Jian Liu",
  booktitle =    "{2012 Second International Conference on
                 Instrumentation, Measurement, Computer, Communication
                 and Control}",
  title =        "Design of Dual-Channel {AIS} Digital Receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "238--242",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/IMCCC.2012.61",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Band pass filters; band pass sample; CORDIC; digital
                 filter; digital quadrature mixer; digital receiver;
                 Field programmable gate arrays; Filtering algorithms;
                 Filtering theory; Finite impulse response filter; Low
                 pass filters",
}

@Article{Gazeau:2012:NLM,
  author =       "Ivan Gazeau and Dale Miller and Catuscia Palamidessi",
  title =        "A non-local method for robustness analysis of floating
                 point programs",
  journal =      "arXiv.org",
  volume =       "??",
  number =       "??",
  pages =        "??--??",
  day =          "3",
  month =        feb,
  year =         "2012",
  CODEN =        "????",
  ISSN =         "????",
  ISSN-L =       "????",
  bibdate =      "Wed Sep 30 12:43:49 MDT 2015",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://arxiv.org/abs/1202.0693",
  abstract =     "Robustness is a standard correctness property which
                 intuitively means that if the input to the program
                 changes less than a fixed small amount then the output
                 changes only slightly. This notion is useful in the
                 analysis of rounding error for floating point programs
                 because it helps to establish bounds on output errors
                 introduced by both measurement errors and by floating
                 point computation. Compositional methods often do not
                 work since key constructs---like the conditional and
                 the while-loop---are not robust. We propose a method
                 for proving the robustness of a while-loop. This method
                 is non-local in the sense that instead of breaking the
                 analysis down to single lines of code, it checks
                 certain global properties of its structure. We show the
                 applicability of our method on two standard algorithms:
                 the CORDIC computation of the cosine and Dijkstra's
                 shortest path algorithm.",
  acknowledgement = ack-nhfb,
  subject =      "Programming Languages (cs.PL)",
}

@InProceedings{Giannakopoulou:2012:HPA,
  author =       "Vasiliki Giannakopoulou and Kostas Masselos",
  booktitle =    "{2012 International Conference on Signals and
                 Electronic Systems (ICSES)}",
  title =        "Hardware performance analysis of a parametric {CORDIC}
                 {IP}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICSES.2012.6382249",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Equations; Hardware;
                 Mathematical model; Microprocessors; Registers;
                 Vectors",
}

@InProceedings{Hsiao:2012:LCD,
  author =       "Shen-Fu Hsiao and Chia-Sheng Wen and Cheng-Han Lee and
                 Andrew Lee",
  booktitle =    "{2012 IEEE Asia Pacific Conference on Circuits and
                 Systems}",
  title =        "Low-cost designs of rectangular to polar coordinate
                 converters for digital communication",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "511--514",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/APCCAS.2012.6419084",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; angle rotation; Approximation methods;
                 coordinate conversion; CORDIC; Digital communication;
                 digital communication; Read only memory; Table lookup;
                 Vectors",
}

@InProceedings{Huang:2012:DDC,
  author =       "Hai Huang and Liyi Xiao and Zhiwei Liu",
  booktitle =    "{2012 5th International Congress on Image and Signal
                 Processing}",
  title =        "Data dependent compression based on reconfigurable
                 {DCT} using {JND} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1224--1228",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/CISP.2012.6469841",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation methods; Computer architecture; CORDIC;
                 Data dependent compression; Discrete cosine transform
                 (DCT); Discrete cosine transforms; Image coding; Image
                 quality; Just noticeable distortion (JND); PSNR; Signal
                 processing algorithms",
}

@InProceedings{Jain:2012:NAH,
  author =       "Rohit Kumar Jain and V. K. Sharma and K. K.
                 Mahapatra",
  booktitle =    "{2012 1st International Conference on Recent Advances
                 in Information Technology (RAIT)}",
  title =        "A new approach for high performance and efficient
                 design of {CORDIC} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "756--760",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/RAIT.2012.6194549",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; carry look ahead adder (CLA); carry save adder
                 (CSA); Computer architecture; Coordinate rotation
                 digital computer (CORDIC); Delay; Field programmable
                 gate arrays; FPGA; Libraries; Microprocessors; Read
                 only memory; vector rotation",
}

@InProceedings{Joseph:2012:FIR,
  author =       "Edwin Joseph and A. Rajagopal and K. Karibasappa",
  booktitle =    "{2012 Nirma University International Conference on
                 Engineering (NUiCONE)}",
  title =        "{FPGA} implementation of Radix-2 {FFT} processor based
                 on Radix-4 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/NUICONE.2012.6493231",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; DFT; FFT; FPGA; VHDL",
}

@Article{Juang:2012:OIS,
  author =       "Ying-Shen Juang and Lu-Ting Ko and Jwu-E. Chen and
                 Tze-Yun Sung and Hsi-Chin Hsin",
  title =        "Optimization and implementation of scaling-free
                 {CORDIC}-based direct digital frequency synthesizer for
                 body care area network systems",
  journal =      "Computational and Mathematical Methods in Medicine",
  volume =       "2012",
  pages =        "1--9",
  year =         "2012",
  DOI =          "https://doi.org/10.1155/2012/651564",
  ISSN =         "1748-670X (print), 1748-6718 (electronic)",
  ISSN-L =       "1748-670X",
  MRclass =      "94A12",
  MRnumber =     "2998378",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Id/No 651564",
  ZMnumber =     "1303.92052",
  acknowledgement = ack-nhfb,
  ajournal =     "Comput. Math. Methods Med.",
  articleno =    "651564",
  fjournal =     "Computational and Mathematical Methods in Medicine",
  keywords =     "92C55,94A12",
  ZBmath =       "6123559",
}

@Article{Jung:2012:CLP,
  author =       "In-Seok Jung and Yong-Bin Kim",
  title =        "A {CMOS} Low-Power Digital Polar Modulator System
                 Integration for {WCDMA} Transmitter",
  journal =      j-IEEE-TRANS-IND-ELECTRON,
  volume =       "59",
  number =       "2",
  pages =        "1154--1160",
  year =         "2012",
  CODEN =        "ITIED6",
  DOI =          "https://doi.org/10.1109/TIE.2011.2158777",
  ISSN =         "0278-0046 (print), 1557-9948 (electronic)",
  ISSN-L =       "0278-0046",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Industrial Electronics",
  keywords =     "CMOS coordinate rotation digital computer (CORDIC);
                 Computer architecture; Hardware; low power; Multiaccess
                 communication; Phase shift keying; Spread spectrum
                 communication; Transmitters; WCDMA",
}

@Article{Li:2012:DIF,
  author =       "L. S. Li",
  title =        "Design and Implementation of Floating-Point
                 Exponential Function",
  journal =      "Microelectronics",
  volume =       "42",
  number =       "??",
  pages =        "703--709",
  month =        "????",
  year =         "2012",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:07:11 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ma:2012:AVP,
  author =       "Shiyu Ma and Xiaoya Fan and Meng Zhang and Lichao
                 Sun",
  booktitle =    "{2012 9th International Conference on Fuzzy Systems
                 and Knowledge Discovery}",
  title =        "An adaptive vector parallel supported transcendental
                 function unit for stream processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1140--1144",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/FSKD.2012.6234026",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computers; Cordic
                 Algorithm; Field programmable gate arrays; Hardware;
                 Memory Accessing Times; Registers; Stream Processor;
                 Table lookup; Transcendental Function Unit; Vectors",
}

@Article{Madheswaran:2012:IDD,
  author =       "M. Madheswaran and T. Menakadevi",
  title =        "An Improved Direct Digital Synthesizer Using Hybrid
                 Wave Pipelining and {CORDIC} algorithm for Software
                 Defined Radio",
  journal =      j-CSSP,
  volume =       "32",
  number =       "3",
  pages =        "1219--1238",
  month =        oct,
  year =         "2012",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-012-9495-x",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InProceedings{Mahapatra:2012:FIS,
  author =       "Chinmaya Mahapatra and Saad Mahboob and Victor C. M.
                 Leung and Thanos Stouraitis",
  booktitle =    "{2012 International Conference on Control Engineering
                 and Communication Technology}",
  title =        "Fast Inverse Square Root Based Matrix Inverse for
                 {MIMO-LTE} Systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "321--324",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICCECT.2012.253",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; CORDIC; Fast inverse square root; Field
                 programmable gate arrays; Hardware; Matrix
                 decomposition; MIMO; MIMO LTE; Pipeline processing;
                 Pipelining; QR decomposition; Systolic array; Xilinx
                 virtex6 FPGA",
}

@InBook{Markovi:2012:CDS,
  author =       "Dejan Markovi and Robert W. Brodersen",
  booktitle =    "{DSP} Architecture Design Essentials",
  title =        "{CORDIC}, Divider, Square Root",
  publisher =    "Springer US",
  pages =        "91--110",
  year =         "2012",
  DOI =          "https://doi.org/10.1007/978-1-4419-9660-2_6",
  ISBN =         "1-4419-9660-5",
  ISBN-13 =      "978-1-4419-9660-2",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Misans:2012:FIE,
  author =       "Peteris Misans and Uldis Derums and Vents Kanders",
  booktitle =    "{NORCHIP 2012}",
  title =        "{FPGA} implementation of elementary generalized
                 unitary rotation with {CORDIC} based architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/NORCHP.2012.6403102",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC algorithm; Field
                 programmable gate arrays; FPGA; Indexes; Jacobian
                 matrices; Shape; Transforms; unitary Jacobi matrix;
                 Unitary transforms; Vectors",
}

@InProceedings{Mishra:2012:CRA,
  author =       "Rajesh Mishra and Amritakar Mandal",
  booktitle =    "{International Multi-Conference on Systems, Signals \&
                 Devices}",
  title =        "Coordinate rotation algorithm based non-linear
                 Adaptive Decision Feedback Equalizer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/SSD.2012.6198025",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive Decision Feedback Equalizer; Algorithm design
                 and analysis; Computer architecture; Convergence;
                 CORDIC; Delay; Feed Forward Filter; Feedback Filter;
                 Filtering algorithms; Finite impulse response filter;
                 Inter Symbol Interference; Least squares approximation;
                 LMS algorithm; Pipelined Architecture",
}

@Article{Nakahara:2012:WFF,
  author =       "Hiroki Nakahara and Hiroyuki Nakanishi and Tsutomu
                 Sasao",
  title =        "On a wideband {Fast Fourier Transform} for a radio
                 telescope",
  journal =      j-COMP-ARCH-NEWS,
  volume =       "40",
  number =       "5",
  pages =        "46--51",
  month =        dec,
  year =         "2012",
  CODEN =        "CANED2",
  DOI =          "https://doi.org/10.1145/2460216.2460225",
  ISSN =         "0163-5964 (print), 1943-5851 (electronic)",
  ISSN-L =       "0163-5964",
  bibdate =      "Sun May 5 09:49:56 MDT 2013",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/sigarch.bib",
  note =         "HEART '12 conference proceedings.",
  abstract =     "The radio telescope analyzes a radio frequency from
                 celestial objects by using fast Fourier transform
                 (FFT). In this application, its bandwidth f is wider
                 than that of the typical FFT. Since the amount of
                 hardware for the typical FFT circuit is proportional to
                 the bandwidth f, a special technique is necessary for
                 this application. This paper shows a realization of
                 wideband FFT for the radio telescope on an FPGA. We
                 show that the memory size for the conventional FFT,
                 which consists of the twiddle factor memory and the
                 transpose memory, is too large. We replace the twiddle
                 factor memory with the pipelined CORDIC. To reduce the
                 number of transpose memories, we increase the radix of
                 the FFT from 22 to 2k, also we use the DDR2SDRAM to
                 implement the transpose memory. We implement the
                 230-FFT on an Altera's Stratix IV GX530 FPGA. It
                 performs the 230-FFT operations in 1.5 seconds.
                 Compared with the Altera's FFT library, our FFT circuit
                 realizes 214 times wider bandwidth on the same FPGA.
                 Also, compared with Tesla S1070 utilizing four GPUs,
                 our FFT circuit is faster and dissipates lower power.",
  acknowledgement = ack-nhfb,
  fjournal =     "ACM SIGARCH Computer Architecture News",
  journal-URL =  "https://dl.acm.org/loi/sigarch",
}

@InProceedings{Panda:2012:PEG,
  author =       "Smruti Ranjan Panda and B. Chitti Babu",
  booktitle =    "{2012 IEEE Students' Conference on Electrical,
                 Electronics and Computer Science}",
  title =        "Phase estimation for grid synchronization using
                 {CORDIC} algorithm with {SRF-PLL}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/SCEECS.2012.6184724",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC Algorithm; Delay Compensator; Grid
                 Synchronization; Harmonic analysis; Normalization;
                 Phase estimation; Phase Estimation; Phase locked loop
                 (PII); Phase locked loops; Power harmonic filters;
                 Simulation; Synchronization; Vectors",
}

@Article{Park:2012:FPA,
  author =       "Sang Yoon Park and Ya Jun Yu",
  title =        "Fixed-point analysis and parameter selections of
                 {MSR}-{CORDIC} with applications to {FFT} designs",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "60",
  number =       "12",
  pages =        "6245--6256",
  year =         "2012",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/TSP.2012.2214218",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  MRclass =      "94A12",
  MRnumber =     "3006416",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1393.94140",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "94A11,65T60; Accuracy; Algorithm design and analysis;
                 Approximation algorithms; Approximation error;
                 Coordinate rotation digital computer (CORDIC); error
                 analysis; fast Fourier transform (FFT); fixed-point;
                 mixed-scaling-rotation (MSR)-CORDIC; Optimization;
                 Signal processing algorithms",
  ZBmath =       "6906670",
}

@InProceedings{Petrovsky:2012:CIL,
  author =       "Nicolai A. Petrovsky and Marek Parfieniuk",
  booktitle =    "{2012 International Conference on Signals and
                 Electronic Systems (ICSES)}",
  title =        "The {CORDIC-inside-lifting} architecture for
                 constant-coefficient hardware quaternion multipliers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICSES.2012.6382236",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Approximation methods; Field
                 programmable gate arrays; Hardware; Pipeline
                 processing; Quaternions; Vectors",
}

@InProceedings{Pongyupinpanich:2012:DEF,
  author =       "S. Pongyupinpanich and F. A. Samman and M. Glesner and
                 S. Singhaniyom",
  booktitle =    "{2012 9th International Conference on Electrical
                 Engineering/Electronics, Computer, Telecommunications
                 and Information Technology}",
  title =        "Design and evaluation of a floating-point division
                 operator based on {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ECTICon.2012.6254331",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Convergence; Field programmable gate arrays; Hardware;
                 MATLAB; Signal processing algorithms",
}

@InProceedings{Prasad:2012:DEA,
  author =       "N Prasad and Ayas Kanta Swain and K. K. Mahapatra",
  booktitle =    "{2012 Asia Pacific Conference on Postgraduate Research
                 in Microelectronics and Electronics}",
  title =        "Design and error analysis of a scale free {CORDIC}
                 unit with corrected scale factor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "7--12",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/PrimeAsia.2012.6458618",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; Computer
                 architecture; Delay; DSP; Equations; FPGA; Hardware;
                 Scaling free CORDIC; Signal processing algorithms;
                 slice delay product",
}

@Article{Raajan:2012:CBM,
  author =       "N. R. Raajan and B. Monisha and K. Vinoth and R.
                 Niranjan and D. Diwakar Padmanabhan",
  title =        "{CORDIC} Based Modified {OFDM} for Pipelined Data
                 Process",
  journal =      "Procedia Engineering",
  volume =       "38",
  pages =        "3300--3307",
  year =         "2012",
  DOI =          "https://doi.org/10.1016/j.proeng.2012.06.382",
  ISSN =         "1877-7058",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "INTERNATIONAL CONFERENCE ON MODELLING OPTIMIZATION AND
                 COMPUTING",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877705812022953",
  acknowledgement = ack-nhfb,
  keywords =     "Cordic; Dwt; Idwt Ohwdm; Ofdm",
}

@InProceedings{Raj:2012:RLC,
  author =       "Krishna Raj and Praveen Kumar Singh and Rajkumar
                 Tomar",
  booktitle =    "{2012 2nd International Conference on Power, Control
                 and Embedded Systems}",
  title =        "A review of low cost multiplier using {CORDIC}
                 subsystem",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICPCES.2012.6508092",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Artificial intelligence; Clocks; Complex
                 multiplication; Costs; Hardware; Logic; Parallel
                 multiplier; Pipelined rotator; Recursive rotator;
                 Serial multiplier; Shift registers; Signal processing
                 algorithms; Sonar applications; Throughput",
}

@Article{Revathi:2012:ADF,
  author =       "P. Revathi and M. V. Nageswara Rao and G. R.
                 Locharla",
  title =        "Architecture Design and {FPGA} Implementation of
                 {CORDIC} Algorithm for Fingerprint Recognition
                 Applications",
  journal =      "Procedia Technology",
  volume =       "6",
  pages =        "371--378",
  year =         "2012",
  DOI =          "https://doi.org/10.1016/j.protcy.2012.10.044",
  ISSN =         "2212-0173",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "2nd International Conference on Communication,
                 Computing \& Security [ICCCS-2012]",
  URL =          "https://www.sciencedirect.com/science/article/pii/S2212017312005890",
  acknowledgement = ack-nhfb,
  keywords =     "AFIS; CORDIC; FFT; FPGA; Gabor; LUT; VerilogHDL;
                 XILINX 13.2",
}

@InProceedings{Ristovic:2012:ICA,
  author =       "Milica Ristovic and Slobodan Lubura and Dejan Jokic",
  booktitle =    "{2012 20th Telecommunications Forum (TELFOR)}",
  title =        "Implementation of {CORDIC} Algorithm on {FPGA Altera
                 Cyclone}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "875--878",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/TELFOR.2012.6419347",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC Algorithm; Cyclones; Field programmable gate
                 arrays; FPGA; Hardware; Hardware design languages;
                 Mathematical model; MATLAB; Simulink HDL Coder",
}

@InProceedings{Samman:2012:SSP,
  author =       "Faizal Arya Samman and Pongyupinpanich Surapong",
  booktitle =    "{The 5th 2012 Biomedical Engineering International
                 Conference}",
  title =        "{SPECTRON}: Streaming processor specific for
                 adaptronic and biomeditronic applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/BMEiCon.2012.6465416",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive Signal Processing; Adaptronic; Adders;
                 Biomedical Electronic Engineering; CORDIC Algorithm;
                 Equations; Field programmable gate arrays;
                 Floating-Point Arithmetic; Manganese; Random access
                 memory; Registers; Switches; TermsReconfigurable
                 Streaming Processor",
}

@InProceedings{Sanaa:2012:DMS,
  author =       "W. Sanaa and N. Delaunay and B. {Le Gal} and D. Dallet
                 and C. Rebai and N. Deltimple and D. Belot and E.
                 Kerherve",
  booktitle =    "{2012 IEEE 3rd Latin American Symposium on Circuits
                 and Systems (LASCAS)}",
  title =        "Design of a mixed-signal Cartesian Feedback loop for a
                 low power zero-{IF} {WCDMA} transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/LASCAS.2012.6180352",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Computer
                 architecture; CORDIC; Mixed-Cartesian feedback loop;
                 Multiaccess communication; Radio transmitters;
                 Registers; Spread spectrum communication; Vectors;
                 WCDMA transmitter",
}

@InProceedings{Sanaa:2012:NDP,
  author =       "W. Sanaa and B. {Le Gal} and D. Dallet and C. Rebai
                 and N. Deltimple and D. Belot and E. Kerherve",
  booktitle =    "{10th IEEE International NEWCAS Conference}",
  title =        "New digital predistortion design based on mixed-signal
                 {Cartesian} feedback training for {3G} homodyne
                 transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "93--96",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/NEWCAS.2012.6328964",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; ASIC;
                 Bandwidth; CORDIC; Mixed-Signal Loop; Power demand;
                 Predistortion; Radio frequency; RAM; Random access
                 memory",
}

@InProceedings{Sarbishei:2012:FPA,
  author =       "O. Sarbishei and K. Radecka",
  booktitle =    "{17th Asia and South Pacific Design Automation
                 Conference}",
  title =        "Fixed-point accuracy analysis of datapaths with mixed
                 {CORDIC} and polynomial computations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "789--794",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ASPDAC.2012.6165061",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Optimization;
                 Polynomials; Quantization; Signal processing
                 algorithms; Taylor series",
}

@InProceedings{Sarkar:2012:VAD,
  author =       "Sumantra Sarkar and Anindya Sundar Dhar",
  booktitle =    "{2012 Annual IEEE India Conference (INDICON)}",
  title =        "{VLSI} architectural design of zoomable real time
                 spectrum analyzer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "065--069",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/INDCON.2012.6420590",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Computers; CORDIC; Discrete Fourier transforms;
                 Equations; Fourier Transform; Hardware; Real-time
                 systems; sliding window; VLSI Architecture; Zoomable
                 Spectrum",
}

@InBook{Schaumont:2012:CCP,
  author =       "Patrick R. Schaumont",
  booktitle =    "A Practical Introduction to {Hardware/Software}
                 Codesign",
  title =        "{CORDIC} Co-processor",
  publisher =    "Springer US",
  pages =        "435--455",
  month =        oct,
  year =         "2012",
  DOI =          "https://doi.org/10.1007/978-1-4614-3737-6_15",
  ISBN =         "1-4614-3737-7",
  ISBN-13 =      "978-1-4614-3737-6",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Shang:2012:IIC,
  author =       "Yalei Shang",
  title =        "Implementation of {IP} Core of Fast Sine and Cosine
                 Operation through {FPGA}",
  journal =      "Energy Procedia",
  volume =       "16",
  pages =        "1253--1258",
  year =         "2012",
  DOI =          "https://doi.org/10.1016/j.egypro.2012.01.200",
  ISSN =         "1876-6102",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "2012 International Conference on Future Energy,
                 Environment, and Materials",
  URL =          "https://www.sciencedirect.com/science/article/pii/S187661021200210X",
  acknowledgement = ack-nhfb,
  keywords =     "CPLD/FPGA; Two-dimensional modeling; {CORDIC}
                 algorithm structure",
}

@InProceedings{Shi:2012:DPM,
  author =       "Jiangyi Shi and Mingxing Wang and Yinghui Tian and Zhe
                 Yang",
  booktitle =    "{2012 Second International Conference on Intelligent
                 System Design and Engineering Application}",
  title =        "Design of Plural-Multiplier Based on {CORDIC}
                 Algorithm for {FFT} Application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1220--1223",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ISdea.2012.500",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Agricultural machinery; Algorithm design and
                 analysis; CORDIC algorithm; FFT; Hardware; Pipeline
                 processing; Pipeline structure; Plural-Multiplier;
                 Signal processing algorithms; Simulation",
}

@InProceedings{Shi:2012:NDP,
  author =       "Jiangyi Shi and Yinghui Tian and Mingxing Wang and Zhe
                 Yang",
  booktitle =    "{2012 Second International Conference on Intelligent
                 System Design and Engineering Application}",
  title =        "A Novel Design of 1024-point Pipelined {FFT} Processor
                 Based on {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "80--83",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ISdea.2012.503",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; Computer
                 architecture; cordic; Discrete Fourier transforms;
                 Equations; FFT; Hardware; pepeline; Signal processing
                 algorithms",
}

@InProceedings{Shi:2012:NFS,
  author =       "Dongyuan Shi and Zishu He",
  booktitle =    "{2012 2nd International Conference on Consumer
                 Electronics, Communications and Networks (CECNet)}",
  title =        "A new frequency source based on Sigma Delta and
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2288--2291",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/CECNet.2012.6201925",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; frequency source; Modulation; Phase noise;
                 Sigma delta modulation; Sigma Detla; Signal generators;
                 Transfer functions; Vectors",
}

@InProceedings{Shreyas:2012:AER,
  author =       "S. G. Shreyas and Leena Vachhani",
  booktitle =    "{2012 IEEE 7th International Conference on Industrial
                 and Information Systems (ICIIS)}",
  title =        "Area efficient reconfigurable architecture for current
                 control loop of a servo controller",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICIInfS.2012.6304826",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CORDIC; Current control; Field programmable
                 gate arrays; FOC; FPGA; Radiation detectors; Servo
                 Controller; Space vector pulse width modulation; SVPWM;
                 Transforms",
}

@Article{Sudha:2012:NMC,
  author =       "J. Sudha and M. C. Hanumantharaju and V.
                 Venkateswarulu and Jayalaxmi H",
  title =        "A Novel Method for Computing Exponential Function
                 Using {CORDIC} Algorithm",
  journal =      "Procedia Engineering",
  volume =       "30",
  pages =        "519--528",
  year =         "2012",
  DOI =          "https://doi.org/10.1016/j.proeng.2012.01.893",
  ISSN =         "1877-7058",
  ISSN-L =       "1877-7058",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "International Conference on Communication Technology
                 and System Design 2011",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877705812009034",
  acknowledgement = ack-nhfb,
  keywords =     "2D Gaussian Function; Exponential Function; FPGA;
                 Hyperbolic {CORDIC} Algorithm",
}

@InProceedings{Sun:2012:CIC,
  author =       "Chi-Chia Sun and Chun-Ying Lin and Gene-Eu Jan",
  booktitle =    "{2012 International Symposium on Intelligent Signal
                 Processing and Communications Systems}",
  title =        "A configurable {IP} Core for arbitrary inverse
                 quantized transforms with dynamic video resolutions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "640--643",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ISPACS.2012.6473568",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; DCIT; DCT; Discrete
                 cosine transforms; ICT; MPEG-4 and H.264; PSNR;
                 Quantization; Standards; Transform coding",
}

@Article{Vazquez:2012:RFP,
  author =       "{\'A}lvaro V{\'a}zquez and Julio Villalba-Moreno and
                 Elisardo Antelo and Emilio L. Zapata",
  title =        "Redundant Floating-Point Decimal {CORDIC} Algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "61",
  number =       "11",
  pages =        "1551--1562",
  month =        nov,
  year =         "2012",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2011.217",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "99-03",
  MRnumber =     "2983272",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput2010.bib",
  ZMnumber =     "1365.65319",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "65Y04; Adders; Approximation algorithms; carry-save
                 arithmetic; Convergence; CORDIC algorithm; decimal
                 arithmetic; Estimation; Hardware; Redundancy; Vectors",
  ZBmath =       "6743799",
}

@InProceedings{Vinoth:2012:DID,
  author =       "S. Vinoth and M. SathishKumar and L. Vanitha",
  booktitle =    "{2012 International Conference on Devices, Circuits
                 and Systems (ICDCS)}",
  title =        "Design and implementation of demodulation technique
                 with complex {DPLL} using {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "384--388",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICDCSyst.2012.6188790",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC Algorithm; DPLL; Field programmable gate
                 arrays; Loop performance; Optimization; Pipelined
                 Architecture; Quantization Error",
}

@InProceedings{Vishnoi:2012:AQO,
  author =       "Upasna Vishnoi and Michael Meixner and Tobias G.
                 Noll",
  booktitle =    "{2012 IEEE International SOC Conference}",
  title =        "An approach for quantitative optimization of highly
                 efficient dedicated {CORDIC} macros as {SoC} building
                 blocks",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "242--247",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/SOCC.2012.6398355",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Capacitance; Clocks; Delay; Throughput;
                 Wiring",
}

@InProceedings{Wang:2012:HPD,
  author =       "Xiaochu Wang and Qiujun Mei",
  booktitle =    "{2012 Third Global Congress on Intelligent Systems}",
  title =        "High-Precision Design of {DDS} Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "386--389",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/GCIS.2012.20",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "accumulator; Algorithm design and analysis; Clocks;
                 cordic algorithm; DDS; Field programmable gate arrays;
                 Frequency control; Frequency synthesizers; Read only
                 memory; Table lookup",
}

@InProceedings{Xiao:2012:NCB,
  author =       "Liyi Xiao and Hai Huang",
  booktitle =    "{2012 International Conference on Optoelectronics and
                 Microelectronics}",
  title =        "A novel {CORDIC} based unified architecture for {DCT}
                 and {IDCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "496--500",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICoOM.2012.6316324",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Arrays; CORDIC; DCT/IDCT; Discrete cosine
                 transforms; FPGA implementation; Hardware; Matrix
                 decomposition; Microelectronics; Unified architecture",
}

@InProceedings{Xu:2012:FPD,
  author =       "Qi Xu and ZheMing Duan",
  booktitle =    "{2012 IEEE International Conference on Computer
                 Science and Automation Engineering (CSAE)}",
  title =        "A fixed point of {DFT\slash FFT} for {FPGA} platform",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "279--282",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/CSAE.2012.6272597",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; butterflies; CORDIC
                 algorithm; Digital signal processing; Discrete Fourier
                 transforms; Field programmable gate arrays; formatting
                 DFT/FFT; Quantization; Random access memory; Vectors",
}

@InProceedings{Yang:2012:CDS,
  author =       "Bohan Yang and Dong Wang and Leibo Liu",
  booktitle =    "{2012 2nd International Conference on Consumer
                 Electronics, Communications and Networks (CECNet)}",
  title =        "Complex division and square-root using {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2464--2468",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/CECNet.2012.6201840",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adders; Algorithm design and analysis;
                 Complex; Computer architecture; CORDIC; Division; Field
                 programmable gate arrays; FPGA; Hardware; Registers;
                 Square-root",
}

@InProceedings{Yao:2012:DRT,
  author =       "Xu Yao and Guangmin Sun and Wen-Yen Lin and Wen-Cheng
                 Chou",
  booktitle =    "{2012 International Conference on Systems and
                 Informatics (ICSAI2012)}",
  title =        "The design of a real-time accelerometer-based sleeping
                 position monitoring system and its application on
                 obstructive sleep apnea syndrome",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1061--1066",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICSAI.2012.6223217",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accelerometer; Accelerometers; Accuracy; Algorithm;
                 Body Position; CORDIC; Embedded System; Head;
                 Monitoring; Obstructive Sleep Apnea; Real time systems;
                 Sleep; Sleep apnea; Vectors",
}

@InProceedings{Yu:2012:CBD,
  author =       "Ji-yang Yu and Dan Huang and Nan Pei and Siyang Zhao
                 and Jian Guo and Yong Xu",
  booktitle =    "{2012 IEEE 11th International Conference on Signal
                 Processing}",
  title =        "{CORDIC}-based design of matched filter weighted
                 algorithm for pulse compression system",
  volume =       "3",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1953--1956",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ICoSP.2012.6491962",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Field programmable gate arrays; FPGA;
                 Frequency-domain analysis; Hardware; matched filter;
                 Matched filters; pulse compression; Real-time systems;
                 Signal processing algorithms; Signal resolution;
                 Software algorithms; Spaceborne radar",
}

@InProceedings{Zheng:2012:ACC,
  author =       "Dezhi Zheng and Shaobo Zhang and Yuming Zhang and Chen
                 Fan",
  booktitle =    "{2012 8th IEEE International Symposium on
                 Instrumentation and Control Technology (ISICT)
                 Proceedings}",
  title =        "Application of {CORDIC} in capacitive rotary encoder
                 signal demodulation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "61--65",
  year =         "2012",
  DOI =          "https://doi.org/10.1109/ISICT.2012.6291638",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Approximation
                 methods; arctangent; capacitive; CORDIC; Electrodes;
                 Field programmable gate arrays; rotary encoders; Signal
                 processing algorithms; Vectors",
}

@InProceedings{Abubeker:2013:SPI,
  author =       "K. M. Abubeker and Sabana Backer and Abey Mathew
                 Varghese",
  booktitle =    "{2013 Annual International Conference on Emerging
                 Research Areas and 2013 International Conference on
                 Microelectronics, Communications and Renewable
                 Energy}",
  title =        "Serial and parallel implementation of {CORDIC}
                 architecture: a comparative approach",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/AICERA-ICMiCR.2013.6575931",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "3; Adders; Algorithm design and analysis; CLBs;
                 Clocks; Complex PLDs; CORDIC algorithm; Cosine and
                 Polar-Cartesian Transformation; Hardware; Registers;
                 Rotating and Vectoring mode; Signal processing
                 algorithms; Sine; Spartan; Vectors; Xilinx FPGA",
}

@InProceedings{Agarwal:2013:FMF,
  author =       "Ashok Agarwal and Lakshmi Boppana and Ravi Kishore
                 Kodali",
  booktitle =    "{IEEE 2013 Tencon --- Spring}",
  title =        "A factorization method for {FPGA} implementation of
                 sample rate converter for a multi-standard radio
                 communications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "530--534",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/TENCONSpring.2013.6584501",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CDMA2000; CIC filter; Computer architecture; CORDIC;
                 Digital Down Converter; Field programmable gate arrays;
                 FPGAs; GSM; Hardware; Mixers; Multiaccess
                 communication; Spread spectrum communication;
                 Standards; WCDMA; WiMAX802.16",
}

@Article{Aggarwal:2013:CBW,
  author =       "Supriya Aggarwal and Kavita Khare",
  title =        "{CORDIC}-based window implementation to minimise area
                 and pipeline depth",
  journal =      "IET Signal Processing",
  volume =       "7",
  number =       "5",
  pages =        "427--435",
  year =         "2013",
  DOI =          "https://doi.org/10.1049/iet-spr.2012.0021",
  ISSN =         "1751-9675 (print), 1751-9683 (electronic)",
  ISSN-L =       "1751-9675",
  MRclass =      "94A12",
  MRnumber =     "3112719",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/iet-spr.2012.0021",
  acknowledgement = ack-nhfb,
  ajournal =     "IET Signal Process.",
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/iet-spr.2012.0021",
  fjournal =     "IET Signal Processing",
  keywords =     "area delay product; Blackman window; circular CORDIC
                 processor; coordinate rotation digital computer; CORDIC
                 based window implementation; field programmable gate
                 array; field programmable gate arrays; filtering;
                 filtering theory; Hamming window; linear CORDIC
                 processor; microrotation sequence identification;
                 pipeline processing; pipelined CORDIC processor; scale
                 factor; shift-add networks; signal processing; Taylor
                 series approximation; window filtering; window
                 functions",
}

@InProceedings{Aggarwal:2013:EWA,
  author =       "Supriya Aggarwal and Kavita Khare",
  booktitle =    "{2013 26th International Conference on VLSI Design and
                 2013 12th International Conference on Embedded
                 Systems}",
  title =        "Efficient Window-Architecture Design Using Completely
                 Scaling-Free {CORDIC} Pipeline",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "60--65",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/VLSID.2013.163",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; CORDIC
                 Algorithm; Delay; FPGA Design; Hardware; Logic gates;
                 Pipelines; Signal processing algorithms; Windowing
                 Techniques",
}

@Article{Aggarwal:2013:SFH,
  author =       "Supriya Aggarwal and Pramod Kumar Meher and Kavita
                 Khare",
  title =        "Scale-Free Hyperbolic {CORDIC} Processor and Its
                 Application to Waveform Generation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "60",
  number =       "2",
  pages =        "314--326",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/TCSI.2012.2215778",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "94A12",
  MRnumber =     "3017542",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1468.94037",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "94A12; Algorithm design and analysis; Approximation
                 methods; Complexity theory; Convergence; Direct digital
                 synthesis; scale-free CORDIC; sigmoid functions; Signal
                 generators; Taylor series; Trajectory; waveform
                 generator",
  ZBmath =       "7386040",
}

@InProceedings{Ahin:2013:CNF,
  author =       "Suhap Ahin and Adnan Kavak and Mehmet Ali {\c{C}}avu
                 Lu",
  booktitle =    "{2013 21st Signal Processing and Communications
                 Applications Conference (SIU)}",
  title =        "Comparison of number formats on {FPGA}-based {OFDM}
                 modem architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/SIU.2013.6531480",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific integrated circuits; Conferences;
                 CORDIC; DSP; FFT; Field programmable gate arrays; FPGA;
                 IFFT; Modems; OFDM; Software; VHDL; Wimax; WiMAX;
                 Wireless systems",
}

@InProceedings{Aulery:2013:SAN,
  author =       "A. Aulery and D. Dallet and B. {Le Gal} and N.
                 Deltimple and D. Belot and E. Kerherve",
  booktitle =    "{2013 IEEE 11th International New Circuits and Systems
                 Conference (NEWCAS)}",
  title =        "Study and analysis of a new implementation of a
                 mixed-signal {Cartesian} feedback for a low power
                 zero-{IF} {WCDMA} transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/NEWCAS.2013.6573638",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; digital design;
                 Libraries; Multiaccess communication; PA linearization;
                 Power demand; Silicon; Spread spectrum communication;
                 Vectors",
}

@InProceedings{Bangqiang:2013:BLI,
  author =       "Liu Bangqiang and He Ling and Yan Xiao",
  booktitle =    "{2013 IEEE 9th International Colloquium on Signal
                 Processing and its Applications}",
  title =        "Base-{$N$} logarithm implementation on {FPGA} for the
                 data with random decimal point positions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "17--20",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/CSPA.2013.6530006",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC algorithm;
                 decimal point positions; Educational institutions;
                 Electronic mail; Field programmable gate arrays; IP
                 networks; Logarithm; Signal processing; Signal
                 processing algorithms",
}

@InProceedings{Cervantes-Lozano:2013:VAD,
  author =       "Pedro Cervantes-Lozano and Luis F. Gonzalez-Perez and
                 Andres D. Garcia-Garcia",
  booktitle =    "{2013 International Conference on Reconfigurable
                 Computing and FPGAs (ReConFig)}",
  title =        "A {VLSI} architecture for the {$ Q R $} decomposition
                 based on the {MCGR} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ReConFig.2013.6732267",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; Complexity theory; CORDIC processors; Indexes;
                 Matrix decomposition; MIMO systems; Modified Complex
                 Givens Rotations; Program processors; QR decomposition;
                 systolic arrays; Very large scale integration; VLSI
                 architectures",
}

@Article{Chen:2013:CTG,
  author =       "Wei-Da Chen and Yin-Tsung Hwang",
  title =        "A Constant Throughput Geometric Mean Decomposition
                 Scheme Design for Wireless {MIMO} Precoding",
  journal =      j-IEEE-TRANS-VEH-TECHNOL,
  volume =       "62",
  number =       "5",
  pages =        "2080--2090",
  year =         "2013",
  CODEN =        "ITUTA6",
  DOI =          "https://doi.org/10.1109/TVT.2013.2238686",
  ISSN =         "0018-9545 (print), 1939-9359 (electronic)",
  ISSN-L =       "0018-9545",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Vehicular Technology",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=25",
  keywords =     "Algorithm design and analysis; Complex-valued singular
                 value decomposition (SVD); Complexity theory;
                 COordinate Rotation DIgital Computer (CORDIC);
                 geometric mean decomposition (GMD); Hardware; joint
                 transceiver; Matrix converters; Matrix decomposition;
                 MIMO; multiple-input multiple-output (MIMO); precoding;
                 Signal detection",
}

@InProceedings{Congiu:2013:SHC,
  author =       "Andrea Congiu and Andrea Picciau and Massimo Barbaro
                 and Emanuele Bodano",
  booktitle =    "{Proceedings of the 2013 9th Conference on Ph.D.
                 Research in Microelectronics and Electronics (PRIME)}",
  title =        "Scalable hybrid {CORDIC-LUT} architectures for
                 {CG-FFT} processors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "105--108",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/PRIME.2013.6603119",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Indexes; Read only memory; Registers; Scalability;
                 Signal processing algorithms",
}

@Article{deDinechin:2013:FPT,
  author =       "Florent de Dinechin and Matei Istoan and Guillaume
                 Sergent",
  title =        "Fixed-point trigonometric functions on {FPGAs}",
  journal =      j-COMP-ARCH-NEWS,
  volume =       "41",
  number =       "5",
  pages =        "83--88",
  month =        dec,
  year =         "2013",
  CODEN =        "CANED2",
  DOI =          "https://doi.org/10.1145/2641361.2641375",
  ISSN =         "0163-5964 (print), 1943-5851 (electronic)",
  ISSN-L =       "0163-5964",
  bibdate =      "Mon Aug 18 17:12:43 MDT 2014",
  bibsource =    "http://portal.acm.org/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/sigarch.bib",
  abstract =     "Three approaches for computing sines and cosines on
                 FPGAs are studied in this paper, with a focus of
                 high-throughput pipelined architecture, and
                 state-of-the-art implementation techniques. The first
                 approach is the classical CORDIC iteration, for which
                 we suggest a reduced iteration technique and fine
                 optimizations in datapath width and latency. The second
                 is an ad-hoc architecture specifically designed around
                 trigonometric identities. The third uses a generic
                 table- and DSP-based polynomial approximator. These
                 three architectures are implemented and compared in the
                 FloPoCo framework.",
  acknowledgement = ack-nhfb,
  fjournal =     "ACM SIGARCH Computer Architecture News",
  journal-URL =  "https://dl.acm.org/loi/sigarch",
  keywords =     "CORDIC; cosine; sine",
}

@InProceedings{Ferhat-Taleb:2013:CID,
  author =       "F. Alim. Ferhat-Taleb and K. Messaoudi and L. Ait
                 Mohamed and O. Kerdjidj and S. Seddiki",
  booktitle =    "{2013 Signal Processing: Algorithms, Architectures,
                 Arrangements, and Applications (SPA), 26--28 September
                 2013, Poznan, Poland}",
  title =        "{CORDIC} {IP} description with {Handel-C} and {VHDL}
                 languages",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "332--336",
  year =         "2013",
  ISBN =         "83-62065-17-6",
  ISBN-13 =      "978-83-62065-17-2",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/6754324/",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC algorithm; Field
                 programmable gate arrays; FPGA.; Handel-C; Image edge
                 detection; IP networks; Signal processing algorithms;
                 Transforms; Trigonometric functions; Vectors; VHDL",
}

@InBook{Geetha:2013:SRB,
  author =       "K. S. Geetha and Gayathri Jeyaram and A. Bhagyashree",
  booktitle =    "Proceedings of International Conference on {VLSI},
                 Communication, Advanced Devices, Signals \& Systems and
                 Networking {(VCASAN-2013)}",
  title =        "Selective Rotation-Based {CORDIC} Architecture for
                 High-Speed Applications",
  publisher =    "Springer India",
  pages =        "317--323",
  year =         "2013",
  DOI =          "https://doi.org/10.1007/978-81-322-1524-0_39",
  ISBN =         "81-322-1524-9",
  ISBN-13 =      "978-81-322-1524-0",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Guerrero-Ramirez:2013:HDE,
  author =       "Jorge E. Guerrero-Ram{\'\i}rez and Jaime
                 Velasco-Medina and Julio C. Arce-Clavijo",
  booktitle =    "{2013 IEEE 4th Latin American Symposium on Circuits
                 and Systems (LASCAS)}",
  title =        "Hardware design of an eigensolver based on the {$ Q R
                 $} method",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/LASCAS.2013.6519065",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Arrays; CORDIC;
                 Eigenvalue computation; Eigenvalues and eigenfunctions;
                 Givens rotations; Hardware; Matrix decomposition; QR
                 method; Symmetric matrices; Systolic Array; Vectors",
}

@InProceedings{Han:2013:FID,
  author =       "Bing Han and Zengli Yang and Yahong Rosa Zheng",
  booktitle =    "{2013 IEEE International Conference on Communications
                 (ICC)}",
  title =        "{FPGA} implementation of {$ Q R $} decomposition for
                 {MIMO-OFDM} using four {CORDIC} cores",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4556--4560",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICC.2013.6655287",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; Clocks; Matrix decomposition; Throughput;
                 Vectors",
}

@Article{Huang:2013:CBF,
  author =       "Hai Huang and Liyi Xiao",
  title =        "{CORDIC} Based Fast Radix-2 {DCT} Algorithm",
  journal =      j-IEEE-SIGNAL-PROCESS-LETT,
  volume =       "20",
  number =       "5",
  pages =        "483--486",
  year =         "2013",
  CODEN =        "ISPLEM",
  DOI =          "https://doi.org/10.1109/LSP.2013.2252616",
  ISSN =         "1070-9908 (print), 1558-2361 (electronic)",
  ISSN-L =       "1070-9908",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Signal Processing Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=97",
  keywords =     "Algorithm design and analysis; Computational
                 complexity; Coordinate rotation digital computer
                 (CORDIC); Digital arithmetic; discrete cosine
                 transformation (DCT); Discrete cosine transforms; fast
                 radix-2 algorithm; Very large scale integration",
}

@Article{Huang:2013:CBU,
  author =       "Hai Huang and Liyi Xiao and Jiaming Liu",
  title =        "{CORDIC}-Based Unified Architectures for Computation
                 of {DCT\slash IDCT\slash DST\slash IDST}",
  journal =      j-CSSP,
  volume =       "33",
  number =       "3",
  pages =        "799--814",
  month =        oct,
  year =         "2013",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-013-9661-9",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InProceedings{Ibrahim:2013:HIM,
  author =       "Muhammad Nasir Ibrahim and Chen Kean Tack and Mariani
                 Idroas and Siti Noormaya Bilmas and Zuraimi Yahya",
  booktitle =    "{2013 International Conference on Parallel and
                 Distributed Systems}",
  title =        "Hardware Implementation of Math Module Based on
                 {CORDIC} Algorithm Using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "628--632",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICPADS.2013.112",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "16x2 character LCD; 4x4 matrix keypad; Algorithm
                 design and analysis; Computer architecture; CORDIC;
                 Equations; Field programmable gate arrays; FPGA;
                 Hardware; Hardware design languages; Mathematical
                 model; Verilog HDL",
}

@InBook{Jain:2013:CAB,
  author =       "Anita Jain and Kavita Khare",
  booktitle =    "{VLSI} Design and Test",
  title =        "{3D} {CORDIC} Algorithm Based Cartesian to Spherical
                 Coordinate Converter",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "337--344",
  year =         "2013",
  DOI =          "https://doi.org/10.1007/978-3-642-42024-5_40",
  ISBN =         "3-642-42024-9",
  ISBN-13 =      "978-3-642-42024-5",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Kalyani:2013:RFU,
  author =       "K. Kalyani and D. Sellathambi and S. Rajaram",
  booktitle =    "{2013 International Conference on Information
                 Communication and Embedded Systems (ICICES)}",
  title =        "Reconfigurable {FFT} using {CORDIC} based architecture
                 for {MIMO-OFDM} receivers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "670--675",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICICES.2013.6508348",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "COordinate Rotation digital Computer (CORDIC);
                 Discrete Fourier transforms; Fast Fourier Transform
                 (FFT); MIMO; Multiple-Input Multiple-Output (MIMO) ---
                 Orthogonal Frequency-Division Multiplexing (OFDM);
                 OFDM; Receivers; Signal processing algorithms;
                 Standards; Wireless LAN; Wireless Local Area
                 Network(WLAN)",
}

@Article{Kamboh:2013:CFP,
  author =       "Hamid Mehmood Allah Ditta Kamboh and Shoab Ahmed
                 Khan",
  title =        "{IS-CORDIC}: a fixed-point inverse recoded single
                 iteration {CORDIC} architecture",
  journal =      j-INT-J-ELECTRON,
  volume =       "101",
  number =       "6",
  pages =        "789--807",
  month =        jun,
  year =         "2013",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2013.803432",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@InProceedings{Kir:2013:FBI,
  author =       "Burcu Kir and Mehmet Ali Altuncu and {\c{S}}uhap
                 Sahin",
  booktitle =    "{2013 The International Conference on Technological
                 Advances in Electrical, Electronics and Computer
                 Engineering (TAEECE)}",
  title =        "{FPGA} based implementation of {CORDIC} using
                 different number format",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "444--448",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/TAEECE.2013.6557315",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Digital signal processing; Field programmable
                 gate arrays; FPGA; IEEE-754; IQ-Math; MATLAB; Table
                 lookup",
}

@Article{KumarM:2013:HPH,
  author =       "Shanmuga {Kumar M.} and Mohamed {Asan Basiri M.} and
                 Noor {Mahammad Sk}",
  title =        "High Precision and High Speed Handheld Scientific
                 Calculator Design Using Hardware based {CORDIC}
                 Algorithm",
  journal =      "Procedia Engineering",
  volume =       "64",
  pages =        "56--64",
  year =         "2013",
  DOI =          "https://doi.org/10.1016/j.proeng.2013.09.076",
  ISSN =         "1877-7058",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "International Conference on Design and Manufacturing
                 (IConDM2013)",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877705813015907",
  acknowledgement = ack-nhfb,
  keywords =     "Angle convergence; Angle Precision; CORDIC Structure;
                 Digital Signal processing and Hybrid {CORDIC}
                 algorithm; Scientific calculator; Transcendental
                 mathematical functions",
}

@Article{Lakshmi:2013:VAP,
  author =       "B. Lakshmi and A. S. Dhar",
  title =        "{VLSI} architecture for parallel radix-4 {CORDIC}",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "37",
  number =       "1",
  pages =        "79--86",
  year =         "2013",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2012.12.001",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933112001925",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "CORDIC algorithm; Parallel radix-4; Redundant
                 arithmetic",
}

@InProceedings{Liao:2013:PEP,
  author =       "Wei-Ting Liao and Wen-Yen Lin and Wen-Cheng Cheng and
                 Kin Fong Lei and Ming-Yih Lee",
  booktitle =    "{2013 International Symposium on Biometrics and
                 Security Technologies}",
  title =        "Precision Enhancement and Performance Evaluation of a
                 {CORDIC}-based Tilting Angle Identification Algorithm
                 for Three-Axis Accelerometers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "187--192",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ISBAST.2013.33",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "2D CORDIC; accelerometer; Accelerometers; algorithm;
                 Algorithm design and analysis; Clocks; Gravity;
                 inclination sensing; Mathematical model; Real-time
                 systems; Tilting angles; Vectors",
}

@InProceedings{Lin:2013:ITC,
  author =       "Kuan Jen Lin and Chien Chih Hou",
  booktitle =    "{2013 IEEE 2nd Global Conference on Consumer
                 Electronics (GCCE)}",
  title =        "Implementation of trigonometric custom functions
                 hardware on embedded processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "155--157",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/GCCE.2013.6664782",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; custom
                 instruction; embedded processor; Field programmable
                 gate arrays; Hardware; Signal processing algorithms;
                 Software; Software algorithms; trigonometric functions;
                 Vectors",
}

@InProceedings{Liu:2013:DCL,
  author =       "Yuxian Liu and Chunhua He and Dachuan Liu and
                 Zhenchuan Yang and Guizhen Yan",
  booktitle =    "{The 8th Annual IEEE International Conference on
                 Nano/Micro Engineered and Molecular Systems}",
  title =        "Digital closed-loop driver design of micromechanical
                 gyroscopes based on coordinated rotation digital
                 computer algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1145--1148",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/NEMS.2013.6559924",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Closed Loop; CORDIC algorithm; Demodulation; Field
                 programmable gate arrays; Force; Gyroscopes; LMS;
                 Mathematical model; MEMS vibratory gyroscope;
                 Micromechanical devices; Phase locked loops",
}

@Article{Liu:2013:MCF,
  author =       "Yidong Liu and Lihang Fan and Tieying Ma",
  title =        "A Modified {CORDIC} {FPGA} Implementation for Wave
                 Generation",
  journal =      j-CSSP,
  volume =       "33",
  number =       "1",
  pages =        "321--329",
  month =        aug,
  year =         "2013",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-013-9638-8",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InProceedings{Lu:2013:CTL,
  author =       "Xinying Lu and Yu Zhang and Zhengqi Zheng",
  booktitle =    "{2013 International Conference on Computational and
                 Information Sciences}",
  title =        "Carrier Tracking Loop Improvement in a New Indoor
                 Positioning System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1388--1391",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICCIS.2013.367",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Carrier discriminator; Carrier tracking
                 loop; CORDIC algorithm; Global Positioning System;
                 Hardware; Indoor positioning; Receivers;
                 Synchronization; Tracking loops; Transmitters",
}

@InProceedings{Malashri:2013:LPM,
  author =       "A. Malashri and C. Paramasivam",
  booktitle =    "{2013 International Conference on Information
                 Communication and Embedded Systems (ICICES)}",
  title =        "Low power and memory efficient {FFT} architecture
                 using modified {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1041--1046",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICICES.2013.6508309",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; FFT;
                 Generators; Low power; Memory management; Random access
                 memory; Registers; Vectors; VLSI",
}

@InProceedings{Malik:2013:UCB,
  author =       "Jamshaid Sarwar Malik and Ahmed Hemani and N. D.
                 Gohar",
  booktitle =    "{2013 IEEE 24th International Conference on
                 Application-Specific Systems, Architectures and
                 Processors}",
  title =        "Unifying {CORDIC} and {Box--Muller} algorithms: an
                 accurate and efficient {Gaussian Random Number}
                 generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "277--280",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ASAP.2013.6567590",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Box-Muller;
                 CORDIC; Field programmable gate arrays; Gaussian;
                 Generators; Hardware; Normal; Random Number Generator;
                 Table lookup",
}

@InProceedings{Manica:2013:PAA,
  author =       "Jennifer Manica and Roberto Passerone and Luca
                 Rizzon",
  booktitle =    "{Proceedings of the 2013 9th Conference on Ph.D.
                 Research in Microelectronics and Electronics (PRIME)}",
  title =        "Power-aware architectural exploration of the {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "333--336",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/PRIME.2013.6603179",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Clocks; Computer architecture; Pipelines;
                 Power demand; Registers; Throughput",
}

@Article{Meher:2013:CDF,
  author =       "Pramod Kumar Meher and Sang Yoon Park",
  title =        "{CORDIC} Designs for Fixed Angle of Rotation",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "21",
  number =       "2",
  pages =        "217--228",
  year =         "2013",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2012.2187080",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Accuracy; Adders; Complexity theory; Coordinate
                 rotation digital computer (CORDIC); digital arithmetic;
                 Digital signal processing; digital signal processing
                 (DSP) chip; Optimization; Registers; Vectors; VLSI",
}

@InProceedings{Moroz:2013:ISF,
  author =       "Leonid Moroz and Taras Mykytiv and Martyn Herasym",
  booktitle =    "{East-West Design \& Test Symposium (EWDTS 2013)}",
  title =        "Improved Scaling-Free {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/EWDTS.2013.6673174",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer graphics; CORDIC; Equations; Mathematical
                 model; Microcontrollers; Multimedia communication;
                 Numerical methods; Scaling-Free; Signal processing
                 algorithms; Vectors",
}

@InProceedings{Naresh:2013:AEM,
  author =       "V. Naresh and B. Venkataramani and R. Raja",
  booktitle =    "{2013 International Conference on Computer
                 Communication and Informatics}",
  title =        "An area efficient multiplexer based {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICCCI.2013.6466264",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computers; CORDIC; Field programmable gate
                 arrays; FPGA; Informatics; multiplexer; Multiplexing;
                 Pipelines; pipelining; rotation mode; Vectors",
}

@Article{Neji:2013:FIC,
  author =       "Nihel Neji and Anis Boudabous and Wajdi Kharrat and
                 Nouri Masmoudi",
  title =        "{FPGA} Implementation of the {CORDIC} Algorithm for
                 Fingerprints Recognition Systems",
  journal =      j-INT-J-COMP-APPL,
  volume =       "63",
  number =       "??",
  pages =        "39--45",
  month =        feb,
  year =         "2013",
  CODEN =        "????",
  DOI =          "https://doi.org/10.5120/10473-5204",
  ISSN =         "0975-8887",
  ISSN-L =       "0975-8887",
  bibdate =      "Fri Jan 24 08:53:14 MST 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/intjcompappl.bib",
  URL =          "https://www.ijcaonline.org/archives/volume63/number6/10473-5204/",
  acknowledgement = ack-nhfb,
  ajournal =     "Intern. J. of Computer Applications",
  articleno =    "6",
  fjournal =     "International Journal of Computer Applications",
  journal-URL =  "https://www.ijcaonline.org/",
}

@InProceedings{Prasad:2013:FIP,
  author =       "N Prasad and Ayas Kanta Swain and K. K. Mahapatra",
  booktitle =    "{2013 International Conference on Circuits, Power and
                 Computing Technologies (ICCPCT)}",
  title =        "{FPGA} implementation of pipelined {CORDIC} based
                 quadrature direct digital synthesizer with improved
                 {SFDR}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "756--760",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICCPCT.2013.6529048",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; DDS; Field programmable gate arrays; FPGA;
                 Frequency conversion; Frequency synthesizers; Indexes;
                 NCO; Noise; Quadrature outputs; SFDR; Tuning",
}

@Article{Ray:2013:CBV,
  author =       "Kailash Chandra Ray and Anindya Sundar Dhar",
  title =        "{CORDIC}-Based {VLSI} Architecture for Implementing
                 {Kaiser--Bessel} Window in Real Time Spectral
                 Analysis",
  journal =      "Journal of Signal Processing Systems",
  volume =       "74",
  number =       "2",
  pages =        "235--244",
  month =        jun,
  year =         "2013",
  DOI =          "https://doi.org/10.1007/s11265-013-0781-z",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@Article{Sanchez-Romero:2013:AAS,
  author =       "Jose-Luis Sanchez-Romero and Antonio Jimeno-Morenilla
                 and Rafael Molina-Carmona and Jose Perez-Martinez",
  title =        "An approach to the application of shift-and-add
                 algorithms on engineering and industrial processes",
  journal =      j-MATH-COMP-MODELLING,
  volume =       "57",
  number =       "7",
  pages =        "1800--1806",
  year =         "2013",
  CODEN =        "MCMOEG",
  DOI =          "https://doi.org/10.1016/j.mcm.2011.11.062",
  ISSN =         "0895-7177 (print), 1872-9479 (electronic)",
  ISSN-L =       "0895-7177",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Public Key Services and Infrastructures
                 EUROPKI-2010-Mathematical Modelling in Engineering \&
                 Human Behaviour 2011",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0895717711007473",
  acknowledgement = ack-nhfb,
  fjournal =     "Mathematical and computer modelling",
  keywords =     "CAD/CAM; Engineering; Shift-and-add algorithms",
}

@InProceedings{Saravanan:2013:SCG,
  author =       "P. Saravanan and S. Ramasamy",
  booktitle =    "{2013 Fourth International Conference on Computing,
                 Communications and Networking Technologies (ICCCNT)}",
  title =        "Sine\slash cos generator for direct digital frequency
                 synthesizer using pipelined {CORDIC} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICCCNT.2013.6726502",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; ASIC; Computer
                 architecture; CORDIC; DDFS; Equations; FPGA;
                 Generators; Mathematical model; Pipelined processor;
                 Signal processing algorithms; sine and cosine
                 generator; Vectors",
}

@InProceedings{Singh:2013:CQS,
  author =       "Narendra Bahadur Singh and Rupesh Kumar Dutta and
                 Prashant Singh",
  booktitle =    "{2013 International Conference on Advanced Electronic
                 Systems (ICAES)}",
  title =        "{CORDIC} for {QAM} and {AM} signal generation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "175--179",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICAES.2013.6659386",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; AM; CORDIC; Data
                 models; Equations; Modelsim and Xilinx; QAM; Quadrature
                 amplitude modulation; RTL; Signal processing
                 algorithms; Simulation; Vectors; Verilog",
}

@InProceedings{Sun:2013:FIP,
  author =       "Chi-Chia Sun and Juergen Goetze",
  booktitle =    "{2013 International Symposium on Intelligent Signal
                 Processing and Communication Systems}",
  title =        "{FPGA} implementation of parallel unitary-rotation
                 {Jacobi} {EVD} method based on Network-on-Chip",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ISPACS.2013.6704512",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Calculators; CORDIC; EVD; Field programmable
                 gate arrays; FPGA; Jacobi Method; Jacobian matrices;
                 Multiplexing; NoC",
}

@InProceedings{Sunny:2013:QDM,
  author =       "Sona Sunny and Jaison Varghese John and T. J. Apen",
  booktitle =    "{2013 Third International Conference on Advances in
                 Computing and Communications}",
  title =        "Quadrature Detection Methods for {FM} Demodulation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "433--436",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICACC.2013.92",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ARCTAN; Baseband; CORDIC; Demodulation; FM
                 demodulation; Frequency shift keying; MATLAB;
                 Receivers; Signal to noise ratio; SNR; Space vehicles;
                 telecomand receiver",
}

@Article{Tolmachev:2013:MFP,
  author =       "Alex Tolmachev and Igor Tselniker and Maxim Meltsin
                 and Itzik Sigron and David Dahan and Aviv Shalom and
                 Moshe Nazarathy",
  title =        "Multiplier-Free Phase Recovery With Polar-domain
                 Multisymbol-Delay-Detector",
  journal =      j-LIGHTWAVE-TECHNOL,
  volume =       "31",
  number =       "23",
  pages =        "3638--3650",
  year =         "2013",
  CODEN =        "JLTEDG",
  DOI =          "https://doi.org/10.1109/JLT.2013.2283635",
  ISSN =         "0733-8724 (print), 1558-2213 (electronic)",
  ISSN-L =       "0733-8724",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Lightwave Technology",
  keywords =     "Adders; Approximation algorithms; Approximation
                 methods; Complexity theory; CORDIC; FPGA; hardware
                 parallelization; multisymbol delay detection (MSDD);
                 multisymbol phase estimation (MSPE); Optical noise;
                 optically coherent detection; phase estimation; phase
                 recovery; Phase shift keying; real-time demonstration;
                 Signal to noise ratio",
}

@Article{Wong:2013:FRI,
  author =       "C. C. Wong and C. C. Liu",
  title =        "{FPGA} realisation of inverse kinematics for biped
                 robot based on {CORDIC}",
  journal =      j-ELECT-LETTERS,
  volume =       "49",
  number =       "5",
  pages =        "332--334",
  year =         "2013",
  CODEN =        "ELLEAK",
  DOI =          "https://doi.org/10.1049/el.2012.4280",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/el.2012.4280",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/el.2012.4280",
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
  keywords =     "field programmable gate arrays, legged locomotion,
                 robot kinematics, inverse kinematics, biped robot, FPGA
                 realisation structure, angle equation, inverse tangent
                 function, CORDIC operator, circular vectoring,
                 hyperbolic vectoring, FPGA chip, software realisation",
}

@InProceedings{Yao:2013:RHP,
  author =       "Rui Yao and Shenghua Dai and Zhengjiao Li",
  booktitle =    "{2013 IEEE International Conference on Intelligent
                 Rail Transportation Proceedings}",
  title =        "Research of {25 Hz} phase sensitive track circuit
                 signal based on {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "159--162",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICIRT.2013.6696286",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "25 Hz Phase Sensitive Track Circuit; Algorithm design
                 and analysis; ARM; CORDIC; Educational institutions;
                 Floating- Point Calculation; Inverters; MATLAB; Power
                 supplies; Rail transportation; Vectors",
}

@InProceedings{Yasodai:2013:EIR,
  author =       "A. Yasodai and A. V. Ramprasad",
  booktitle =    "{2013 IEEE Recent Advances in Intelligent
                 Computational Systems (RAICS)}",
  title =        "An efficient implementation of rotational radix-4
                 {CORDIC} based {FFT} processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "37--42",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/RAICS.2013.6745443",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Delays; FPGA; Generators; latency; memory less
                 systems; Memory management; Radix-4; Read only memory;
                 Signal processing algorithms; speed; throughput;
                 twiddle factor; Vectors",
}

@Article{Yu:2013:NDC,
  author =       "Wei-Han Yu and Chak-Fong Cheang and Pui-In Mak and
                 Weng-Fai Cheng and Ka-Fai Un and U-Wai Lok and Rui P.
                 Martins",
  title =        "A Nonrecursive Digital Calibration Technique for Joint
                 Elimination of Transmitter and Receiver {I/Q}
                 Imbalances With Minimized Add-On Hardware",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "60",
  number =       "8",
  pages =        "462--466",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/TCSII.2013.2268412",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Algorithm; Art; Calibration; calibration; coordinate
                 rotation digital computer (CORDIC); Detectors; Digital
                 computers; Hardware; I/Q imbalance; local oscillator
                 (LO); Local oscillators; receiver (RX); Receivers;
                 Switches; transceiver; Transceivers; transmitter (TX);
                 Transmitters",
}

@InBook{Zhang:2013:DIN,
  author =       "Jianfeng Zhang and Wei Ding and Hengzhu Liu",
  booktitle =    "Computer Engineering and Technology",
  title =        "Design and Implementation of a Novel Entirely Covered
                 {K 2} {CORDIC}",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  pages =        "1--8",
  year =         "2013",
  DOI =          "https://doi.org/10.1007/978-3-642-41635-4_1",
  ISBN =         "3-642-41635-7",
  ISBN-13 =      "978-3-642-41635-4",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Zhou:2013:NMG,
  author =       "Jinglong Zhou",
  booktitle =    "{2013 International Conference on Communications,
                 Circuits and Systems (ICCCAS)}",
  title =        "A new method to generate twiddle factor using {CORDIC}
                 based radix-4 {FFT} butterfly",
  volume =       "2",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "505--508",
  year =         "2013",
  DOI =          "https://doi.org/10.1109/ICCCAS.2013.6765394",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Computer
                 architecture; Equations; Hardware; Simulation;
                 Vectors",
}

@InProceedings{Aggarwal:2014:RCA,
  author =       "Supriya Aggarwal and Pramod K. Meher",
  booktitle =    "{2014 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Reconfigurable {CORDIC} architectures for multi-mode
                 and multi-trajectory operations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2490--2494",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ISCAS.2014.6865678",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Multiplexing; Pipelines; Program processors;
                 Table lookup; Trajectory; Vectors",
}

@InBook{Andreev:2014:EHI,
  author =       "Andrey Andreev and Evgueni Doukhnitch and Vitaly
                 Egunov and Dmitriy Zharikov and Oleg Shapovalov and
                 Sergey Artuh",
  booktitle =    "Knowledge-Based Software Engineering",
  title =        "Evaluation of Hardware Implementations of
                 {CORDIC-Like} Algorithms in {FPGA} Using {OpenCL}
                 Kernels",
  publisher =    "Springer International Publishing",
  pages =        "228--242",
  year =         "2014",
  DOI =          "https://doi.org/10.1007/978-3-319-11854-3_20",
  ISBN =         "3-319-11854-4",
  ISBN-13 =      "978-3-319-11854-3",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Bag:2014:DDM,
  author =       "Joyashree Bag and Subhashis Roy and P. K. Dutta and
                 Subir Kumar Sarkar",
  title =        "Design of a {DPSK} Modem Using {CORDIC} Algorithm and
                 Its {FPGA} Implementation",
  journal =      "IETE Journal of Research",
  volume =       "60",
  number =       "5",
  pages =        "355--363",
  month =        sep,
  year =         "2014",
  DOI =          "https://doi.org/10.1080/03772063.2014.961979",
  ISSN =         "0974-780X",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Bansal:2014:MER,
  author =       "Puneet Bansal and B. S. Dhaliwal and S. S. Gill",
  booktitle =    "{2014 International Conference on Green Computing
                 Communication and Electrical Engineering (ICGCCEE)}",
  title =        "Memory-efficient Radix-2 {FFT} processor using
                 {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICGCCEE.2014.6922202",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Decoding; DFT;
                 FFT; Hardware; Memory management; Radix; Random access
                 memory; Real-time systems; Signal processing
                 algorithms; Synthesis",
}

@InProceedings{Chinnathambi:2014:FIF,
  author =       "M. Chinnathambi and N. Bharanidharan and S. Rajaram",
  booktitle =    "{2014 International Conference on Communication and
                 Network Technologies}",
  title =        "{FPGA} implementation of fast and area efficient
                 {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "228--232",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/CNT.2014.7062760",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Clocks; CORDIC
                 algorithm; Delays; Field programmable gate arrays;
                 FPGA; Multiplexer; Multiplexing; Pipeline processing;
                 Pipelining; Sine/Cosine; Unrolled CORDIC; Vectors",
}

@Article{Chu:2014:ESI,
  author =       "Chun-Yuan Chu and I-Wei Lai and Yi-Yao Lan and Tzi-Dar
                 Chiueh",
  title =        "Efficient Sequential Integer {CFO} and Sector Identity
                 Detection for {LTE} Cell Search",
  journal =      "IEEE Wireless Communications Letters",
  volume =       "3",
  number =       "4",
  pages =        "389--392",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/LWC.2014.2320753",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; cell search; Computer architecture;
                 coordinate rotation digital computer (CORDIC);
                 Correlation; Hardware; integer carrier frequency offset
                 (CFO); Long Term Evolution; LTE; Microprocessors;
                 non-coherent detection; primary synchronization signal
                 (PSS); sequential integer CFO and sector identity
                 detection (SISID); Synchronization; Zadoff--Chu
                 sequence",
}

@InProceedings{Clapa:2014:FDC,
  author =       "Jakub C{\l}apa and Henryk B{\l}asi{\'n}ski and Kamil
                 Grabowski and Przemys{\l}aw S{\k{e}}kalski",
  booktitle =    "{2014 Proceedings of the 21st International Conference
                 Mixed Design of Integrated Circuits and Systems
                 (MIXDES)}",
  title =        "A fisheye distortion correction algorithm optimized
                 for hardware implementations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "415--419",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/MIXDES.2014.6872232",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "barrel distortion; Cameras; Computer architecture;
                 CORDIC; distortion correction; Field programmable gate
                 arrays; fisheye lens; FPGA; Hardware; Lenses; Real-time
                 systems; real-time video processing; Vectors",
}

@InProceedings{Deng:2014:LLH,
  author =       "Lingxuan Deng and Junshe An",
  booktitle =    "{2014 IEEE Symposium on Computer Applications and
                 Communications}",
  title =        "A Low Latency High-Throughput Elementary Function
                 Generator Based on Enhanced Double Rotation {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "125--130",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/SCAC.2014.33",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; ASIC; Computer architecture; coprocessor;
                 Coprocessors; CORDIC; double rotation; elementary
                 function; Field programmable gate arrays; FPGA;
                 Hardware; redundant arithmetic; signed-digit; Vectors",
}

@InProceedings{El-Motaz:2014:CFF,
  author =       "Mohammed A. El-Motaz and Omar A. Nasr and Karim
                 Osama",
  booktitle =    "{2014 International Wireless Communications and Mobile
                 Computing Conference (IWCMC)}",
  title =        "A {CORDIC-friendly} {FFT} architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1087--1092",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/IWCMC.2014.6906506",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; COordinate Rotation DIgital
                 Computer (CORDIC); Equations; Fast Fourier Transform
                 (FFT); Gain; Hardware; Mathematical model; Read only
                 memory; Signal processing algorithms",
}

@InProceedings{Exel:2014:TIC,
  author =       "Reinhard Exel and Thomas Bigler and Thilo Sauter and
                 Paolo Ferrari and Mattia Rizzi and Alessandra
                 Flammini",
  booktitle =    "{2014 IEEE International Instrumentation and
                 Measurement Technology Conference (I2MTC)
                 Proceedings}",
  title =        "Timestamping of {IEEE 802.15.4} {CSS} by
                 {CORDIC}-based Chirp interpolation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "604--609",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/I2MTC.2014.6860815",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cascading style sheets; Chirp; Correlation; Delays;
                 IEEE 802.15 Standards; Synchronization; Wireless sensor
                 networks",
}

@InProceedings{Fu:2014:MMC,
  author =       "Qimua Fu and Dong Wang and Xiaoming Ding",
  booktitle =    "{2014 12th International Conference on Signal
                 Processing (ICSP)}",
  title =        "Multi-mode {CORDIC} processor on a dynamically
                 reconfigurable array",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "419--424",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICOSP.2014.7015040",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Arrays; CORDIC;
                 Equations; FPGA; Heuristic algorithms; Mathematical
                 model; PEA; reconfigurable; Vectors",
}

@InProceedings{G:2014:CBH,
  author =       "Esakkirajan G and Annadurai C",
  booktitle =    "{2014 International Conference on Communication and
                 Signal Processing}",
  title =        "{CORDIC} based high speed {DCT} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1649--1652",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICCSP.2014.6950128",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computers;
                 Decorrelation; Discrete Cosine Transformation (DCT);
                 Discrete cosine transforms; Field programmable gate
                 arrays; MATLAB",
}

@InProceedings{Huang:2014:CBFa,
  author =       "Hai Huang and Bo Wu and Weidong Wang and Tianyang
                 Gao",
  booktitle =    "{International Conference on Software Intelligence
                 Technologies and Applications \& International
                 Conference on Frontiers of Internet of Things 2014}",
  title =        "{CORDIC}-based fast radix-2 {DST} algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "246--249",
  year =         "2014",
  DOI =          "https://doi.org/10.1049/cp.2014.1569",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; DST; fast algorithm; VLSI",
}

@Article{Huang:2014:CBFb,
  author =       "Hai Huang and Liyi Xiao",
  title =        "{CORDIC} based fast algorithm for power-of-two point
                 {DCT} and its efficient {VLSI} implementation",
  journal =      j-MICROELECT-J,
  volume =       "45",
  number =       "11",
  pages =        "1480--1488",
  year =         "2014",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2014.07.001",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026269214002201",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "Coordinate rotation digital computer (CORDIC);
                 Discrete cosine transform (DCT); Linear array; Very
                 large-scale integration (VLSI) design",
}

@InProceedings{Huang:2014:CSE,
  author =       "Yanxiang Huang and Meng Li and Chunshu Li and Peter
                 Debacker and Liesbet {Van der Perre}",
  booktitle =    "{2014 IEEE Workshop on Signal Processing Systems
                 (SiPS)}",
  title =        "Computation-skip error resilient scheme for recursive
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/SiPS.2014.6986061",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation methods; Clocks; Energy consumption;
                 Hardware; Latches; Monitoring; Timing",
}

@InProceedings{Huang:2014:NML,
  author =       "Shen-Jui Huang and Sau-Gee Chen",
  booktitle =    "{2014 International Symposium on Integrated Circuits
                 (ISIC)}",
  title =        "A new memoryless and low-latency {FFT} rotator
                 architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "180--183",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ISICIR.2014.7029558",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Complexity theory; CORDIC; Fast Fourier
                 Transform (FFT); IEEE 802.15 Standards; Memory
                 architecture; Registers; Rotator; Throughput; twiddle
                 factor",
}

@Article{Hwang:2014:LCG,
  author =       "Yin-Tsung Hwang and Wei-Da Chen and Cheng-Ru Hong",
  title =        "A Low Complexity Geometric Mean Decomposition
                 Computing Scheme and Its High Throughput {VLSI}
                 Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "61",
  number =       "4",
  pages =        "1170--1182",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/TCSI.2013.2285893",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Complexity theory; CORDIC; geometric mean
                 decomposition (GMD); Jacobian matrices; Matrix
                 converters; Matrix decomposition; MIMO; Multiple Inputs
                 Multiple Outputs (MIMO); precoding; singular value
                 decomposition (SVD); Throughput; Transceivers",
}

@InProceedings{Kalra:2014:CBB,
  author =       "Parichay Kalra and Anand Kukde and B. Venkataramani",
  booktitle =    "{2014 International Conference on Computer and
                 Communication Technology (ICCCT)}",
  title =        "{CORDIC} based {BPSK} modulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "335--339",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICCCT.2014.7001515",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Binary phase shift keying; BPSK; CORDIC; Demodulation;
                 FPGA; Multiplexing; Nickel; QPSK; Universal Modulator;
                 Vectors",
}

@InProceedings{Kalra:2014:CBU,
  author =       "Lt. Parichay Kalra and Naresh Vemishetty and B.
                 Venkatramani",
  booktitle =    "{2014 Recent Advances in Engineering and Computational
                 Sciences (RAECS)}",
  title =        "{CORDIC} based Universal Modulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/RAECS.2014.6799562",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Field programmable gate
                 arrays; FPGA; Frequency modulation; Multiplexing; Phase
                 modulation; Universal Modulator; Vectors",
}

@InProceedings{Kapoor:2014:CBR,
  author =       "Rajan Kapoor and S. Shyam Sundar and Preetam Kumar",
  booktitle =    "{Proceedings of the 2014 IEEE Students' Technology
                 Symposium}",
  title =        "{CORDIC} based reconfigurable architecture for
                 {DS-CDMA\slash CI} transmitter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "126--131",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/TechSym.2014.6807927",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "BPSK modulator; Convolutional codes; Convolutional
                 Encoder; CORDIC; DS-CDMA/CI; FPGA; Generators;
                 Mathematical model; Modulation; Multiaccess
                 communication; Multiplexing; Radio transmitters",
}

@Article{Kasap:2014:NFP,
  author =       "Server Kasap and Soydan Redif",
  title =        "Novel Field-Programmable Gate Array Architecture for
                 Computing the Eigenvalue Decomposition of
                 Para-{Hermitian} Polynomial Matrices",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "22",
  number =       "3",
  pages =        "522--536",
  year =         "2014",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2013.2248069",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Computer architecture; Coordinate rotation digital
                 computer (CORDIC); Field programmable gate arrays;
                 field-programmable gate array (FPGA); Hardware;
                 Jacobian matrices; Matrix decomposition; polynomial
                 matrix eigenvalue decomposition; Polynomials; Random
                 access memory; sequential best rotation (SBR2); Xilinx
                 system generator tool",
}

@InProceedings{Kasliwal:2014:HRD,
  author =       "Prabha S. Kasliwal and Gaurav Bhand and B. P. Patil",
  booktitle =    "{2014 Science and Information Conference}",
  title =        "Hardware realization of discrete convolution using
                 {CORDIC} and {Vedic} multiplier",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "850--854",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/SAI.2014.6918284",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Convolution; CORDIC; Discrete
                 linear convolution; Equations; Field programmable gate
                 arrays; Finite element analysis; FPGA; Signal
                 processing algorithms; Vectors; Vedic",
}

@InProceedings{Khurshid:2014:HRA,
  author =       "Burhan Khurshid and Roohie Naz Mir and Animesh Kumar
                 and Shatish Kumar",
  booktitle =    "{2014 International Conference on Signal Processing
                 and Integrated Networks (SPIN)}",
  title =        "A hybrid-radix approach for efficient implementation
                 of unfolded {CORDIC} architectures for {FPGA}
                 platforms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "453--457",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/SPIN.2014.6776996",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Computer
                 architecture; CORDIC; DSP; Field programmable gate
                 arrays; Periodic structures; Radix-4 arithmetic;
                 Retiming; Signal processing algorithms; Throughput;
                 Unfolded structures; VLSI",
}

@InProceedings{Kosovets:2014:CDM,
  author =       "M. Kosovets and O. Pavlov",
  booktitle =    "{2014 24th International Crimean Conference Microwave
                 \& Telecommunication Technology}",
  title =        "{CORDIC} differential model of characteristic
                 functions of {3D} teragertz {FMCW} radar",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1017--1018",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/CRMICO.2014.6959740",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Educational institutions; Electronic mail;
                 Mathematical model; Noise level; Radar; Solid modeling;
                 Three-dimensional displays",
}

@InProceedings{Kumar:2014:OTI,
  author =       "K. A. Arun Kumar",
  booktitle =    "{2014 3rd International Conference on Eco-friendly
                 Computing and Communication Systems}",
  title =        "An {OFDM} Transmitter Implementation Using {CORDIC}
                 Based Partially Reconfigurable {IFFT} Module",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "266--270",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/Eco-friendly.2014.61",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cordic; DDS; Field programmable gate arrays; FPGA;
                 IFFT and Partial Reconfiguration; Mathematical model;
                 Modulation; OFDM; Radio transmitters; RTL; Software
                 packages; Switches",
}

@InProceedings{Leavline:2014:CIB,
  author =       "E. Jebamalar Leavline and S. Megala and D. Asir Antony
                 Gnana Singh",
  booktitle =    "{2014 International Conference on Recent Trends in
                 Information Technology}",
  title =        "{CORDIC} iterations based architecture for low power
                 and high quality {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICRTIT.2014.6996195",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Coordinate rotation digital
                 computer (CORDIC); Discrete Cosine Transform (DCT);
                 Discrete cosine transforms; Equations; Image quality;
                 Low power reconfigurable architecture; Power demand;
                 Signal processing algorithms; Vectors",
}

@InProceedings{Lee:2014:MRF,
  author =       "Jae-Ho Lee and Dong-Joon Choi and Nam-Ho Hur and
                 Whan-Woo Kim",
  booktitle =    "{16th International Conference on Advanced
                 Communication Technology}",
  title =        "Measurement results of frequency offset in {DVB-C2}
                 receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "989--993",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICACT.2014.6779106",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Digital video broadcasting; Educational
                 institutions; Estimation; FPGA; Frequency division
                 multiplexing; Frequency estimation; Frequency offset;
                 OFDM; unique synchronization sequence",
}

@Article{Lee:2014:RCB,
  author =       "Min-Woo Lee and Ji-Hwan Yoon and Jongsun Park",
  title =        "Reconfigurable {CORDIC}-based Low-Power {DCT}
                 Architecture Based on Data Priority",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "22",
  number =       "5",
  pages =        "1060--1068",
  year =         "2014",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2013.2263232",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Computer architecture; Coordinate rotation digital
                 computer (CORDIC); data priority; Degradation; discrete
                 cosine transform (DCT); Discrete cosine transforms;
                 Frequency-domain analysis; Hardware; Image quality;
                 low-power; reconfigurable architecture; reconfigurable
                 architecture.",
}

@InBook{Lin:2014:CAF,
  author =       "Sun-Ting Lin and Tzu-Hao Wang and Shou-Sheu Lin and
                 Yan-Bang Li",
  booktitle =    "Proceedings of the 2nd International Conference on
                 Intelligent Technologies and Engineering Systems
                 {(ICITES2013)}",
  title =        "{CORDIC} Algorithm for {FPGA} Implementation",
  publisher =    "Springer International Publishing",
  pages =        "57--62",
  year =         "2014",
  DOI =          "https://doi.org/10.1007/978-3-319-04573-3_8",
  ISBN =         "3-319-04573-3",
  ISBN-13 =      "978-3-319-04573-3",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Liu:2014:BWD,
  author =       "Tsung-Hsien Liu and Chun-Ning Chiu and Pei-Yu Liu and
                 Yuan-Sun Chu",
  title =        "Block-Wise {$ Q R $}-Decomposition for the Layered and
                 Hybrid {Alamouti} {STBC MIMO} Systems: Algorithms and
                 Hardware Architectures",
  journal =      j-IEEE-TRANS-SIG-PROC,
  volume =       "62",
  number =       "18",
  pages =        "4737--4747",
  year =         "2014",
  CODEN =        "ITPRED",
  DOI =          "https://doi.org/10.1109/TSP.2014.2342657",
  ISSN =         "1053-587X (print), 1941-0476 (electronic)",
  ISSN-L =       "1053-587X",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Signal Processing",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=78",
  keywords =     "Alamouti space-time block coding; Computer
                 architecture; CORDIC module; Educational institutions;
                 Givens rotation; Hardware; MIMO; multiple input
                 multiple output system; QR-decomposition; Receiving
                 antennas; Signal processing algorithms; Transmitting
                 antennas; triangular systolic array",
}

@InProceedings{Mane:2014:IDU,
  author =       "Mansi Mane and Dhanshree Patil and Mukul S. Sutaone
                 and Akshay Sadalage",
  booktitle =    "{2014 First International Conference on Computational
                 Systems and Communications (ICCSC)}",
  title =        "Implementation of {DCT} using variable iterations
                 {CORDIC} algorithm on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "379--383",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/COMPSC.2014.7032682",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; CORDIC
                 algorithm; DCT; Discrete cosine transforms; Field
                 programmable gate arrays; FPGA; MATLAB; Signal
                 processing algorithms; Vectors",
}

@InProceedings{Manikandan:2014:ATE,
  author =       "M. Manikandan and C. Paramasivam",
  booktitle =    "{2014 International Conference on Communication and
                 Signal Processing}",
  title =        "Area and time efficient hardwired pre-shifted
                 bi-rotation {CORDIC} design",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1874--1879",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICCSP.2014.6950170",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Coordinate rotation digital computer (CORDIC); digital
                 arithmetic; digital signal processing (DSP) chip;
                 Feeds; Indexes; VLSI",
}

@InProceedings{Martinez-Corral:2014:SPA,
  author =       "Unai Martinez-Corral and Koldo Basterretxea and Raul
                 Finker",
  booktitle =    "{2014 24th International Conference on Field
                 Programmable Logic and Applications (FPL)}",
  title =        "Scalable parallel architecture for singular value
                 decomposition of large matrices",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/FPL.2014.6927393",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "adaptive threshold; Algorithm design and analysis;
                 Arrays; co-processor; CORDIC; Field programmable gate
                 arrays; FPGA; Jacobian matrices; Matrix decomposition;
                 scalable architecture; Singular Value Decomposition;
                 Singular value decomposition",
}

@InProceedings{Masram:2014:HPA,
  author =       "B. Y. Masram and P. T. Karule",
  booktitle =    "{2014 IEEE International Conference on Advanced
                 Communications, Control and Computing Technologies}",
  title =        "High performance analysis of a {CORDIC} architectures
                 based on {FPGA}: a comparative approach",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "569--574",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICACCCT.2014.7019151",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Folded; FPGA; FSM;
                 Performance analysis; Rotation mode; Unfolded
                 architectures; Vector",
}

@Article{Mohanty:2014:DPA,
  author =       "Ramanarayan Mohanty and Gonnabhaktula Anirudh and
                 Tapan Pradhan and Bibek Kabi and Aurobinda Routray",
  title =        "Design and Performance Analysis of Fixed-point
                 {Jacobi} {SVD} Algorithm on Reconfigurable System",
  journal =      "IERI Procedia",
  volume =       "7",
  pages =        "21--27",
  year =         "2014",
  DOI =          "https://doi.org/10.1016/j.ieri.2014.08.005",
  ISSN =         "2212-6678",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "International Conference on Applied Computing,
                 Computer Science, and Computer Engineering (ICACC
                 2013)",
  URL =          "https://www.sciencedirect.com/science/article/pii/S2212667814000240",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; fixed-point arithmetic; reconfigurable
                 architecture; signal-to-quantization-noise-ratio
                 (SQNR).; singular value decomposition (SVD)",
}

@Article{Moroz:2014:SHS,
  author =       "Leonid Moroz and Shinobu Nagayama and Taras Mykytiv
                 and Ihor Kirenko and Taras Boretskyy",
  title =        "Simple Hybrid Scaling-Free {CORDIC} Solution for
                 {FPGAs}",
  journal =      "International Journal of Reconfigurable Computing",
  volume =       "2014",
  number =       "1",
  pages =        "615472",
  year =         "2014",
  DOI =          "https://doi.org/10.1155/2014/615472",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1155/2014/615472",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1155/2014/615472",
}

@InProceedings{Neelam:2014:HEF,
  author =       "Sapta Girish Neelam",
  booktitle =    "{2014 International Conference on Advances in
                 Computing, Communications and Informatics (ICACCI)}",
  title =        "Hardware-efficient {FPGA} implementation of symbol \&
                 carrier synchronization for {16-QAM}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "630--634",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICACCI.2014.6968199",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "16-QAM; Carrier recovery; Cordic; Detectors; Field
                 programmable gate arrays; FPGA design; Interpolation;
                 Quadrature amplitude modulation; Synchronization;
                 Timing recovery; Transient analysis",
}

@InProceedings{Patil:2014:DIF,
  author =       "Anupama Patil and Ritu Saini",
  booktitle =    "{2014 International Conference on Green Computing
                 Communication and Electrical Engineering (ICGCCEE)}",
  title =        "Design and implementation of {FPGA} based linear all
                 digital phase-locked loop",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--1",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICGCCEE.2014.6922342",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Abstracts; ADPLL; Algorithm design and analysis;
                 Cordic algorithm; Educational institutions; Field
                 programmable gate arrays; FPGA; frequency resolution;
                 Phase locked loops; Phase measurement; short locking
                 time; Time-frequency analysis",
}

@InBook{Prasad:2014:EVI,
  author =       "N. Prasad and Manas Ranjan Tripathy and Ansuman
                 DiptiSankar Das and Nihar Ranjan Behera and Ayaskanta
                 Swain",
  booktitle =    "Intelligent Computing, Communication and Devices",
  title =        "Efficient {VLSI} Implementation of {CORDIC}-Based
                 Direct Digital Synthesizer",
  publisher =    "Springer India",
  pages =        "597--603",
  month =        aug,
  year =         "2014",
  DOI =          "https://doi.org/10.1007/978-81-322-2012-1_64",
  ISBN =         "81-322-2012-9",
  ISBN-13 =      "978-81-322-2012-1",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Roy:2014:DVI,
  author =       "Subhashis Roy and Joyashree Bag and Subir Kumar
                 Sarkar",
  booktitle =    "{2014 Annual IEEE India Conference (INDICON)}",
  title =        "Design and {VLSI} implementation of a robot navigation
                 processor deploying {CORDIC} based anti-collision
                 algorithm with {RFID} technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/INDICON.2014.7030598",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Anticollision;
                 Collision avoidance; CORDIC algorithm; FPGA; Hardware;
                 Mobile robots; Radiofrequency identification; RFID
                 Technology; Robot kinematics; Robot Navigation
                 processor; RTL schematic",
}

@InProceedings{Rupanagudi:2014:DLP,
  author =       "Sudhir Rao Rupanagudi and Varsha G. Bhat and S. G.
                 Hemalatha and N. Bhavana and M. Archana and B. V.
                 Chandrika and R. Ashwini and Keerti G. Torvi and S. R.
                 Darshan and B. G. Abhilash and K. S. Anil and Vinayak
                 Swamy K. M.",
  booktitle =    "{2014 International Conference on Advances in
                 Computing, Communications and Informatics (ICACCI)}",
  title =        "Design of a low power Digital Down Converter for
                 802.16m --- {4G} {WiMAX} on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2303--2308",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICACCI.2014.6968583",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "4G; 5G; 802.16m; Baseband; Cascaded Integrator Comb
                 Filter; CIC Filter; Computer architecture; CORDIC
                 algorithm; DDC; Digital Down Converter; Field
                 programmable gate arrays; Finite impulse response
                 filters; Low power multiplier; Mixers; NCO; Numerically
                 Controlled Oscillator; Receivers; Vedic Maths; WiMAX",
}

@InProceedings{S:2014:EFP,
  author =       "Shymna Nizar N S and Abhila R. Krishna",
  booktitle =    "{2014 IEEE International Conference on Advanced
                 Communications, Control and Computing Technologies}",
  title =        "An efficient folded pipelined architecture for {Fast
                 Fourier Transform} using {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "462--467",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICACCCT.2014.7019486",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; FFT; folding transformation;
                 FPGA; Logic gates; Pipelining; Registers;
                 Service-oriented architecture; Vectors",
}

@Article{Shukla:2014:LLH,
  author =       "Rohit Shukla and Kailash Chandra Ray",
  title =        "Low Latency Hybrid {CORDIC} Algorithm",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "63",
  number =       "12",
  pages =        "3066--3078",
  month =        dec,
  year =         "2014",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2013.173",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "65Y05 99-03",
  MRnumber =     "3280273",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput2010.bib",
  ZMnumber =     "1364.65318",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "adders; Approximation algorithms; communication
                 systems; Computer architecture; coordinate rotational
                 digital computer; CORDIC algorithm; Delays; digital
                 arithmetic; Digital computers; digital computers;
                 double step branching; fast adders; first order
                 hardware architecture; hardware complexity; hybrid
                 CORDIC algorithm; image processing; low latency; low
                 latency hybrid CORDIC algorithm; Mathematical model;
                 radix-4; redundant arithmetic; scale factor
                 calculation; signal processing; Signal processing
                 algorithms",
  ZBmath =       "6733040",
}

@InProceedings{Sileshi:2014:AHG,
  author =       "B. G. Sileshi and C. Ferrer and J. Oliver",
  booktitle =    "{IEEE SENSORS, 2014}",
  title =        "Accelerating hardware {Gaussian} random number
                 generation using {Ziggurat} and {CORDIC} algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2122--2125",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ICSENS.2014.6985457",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Computer architecture; CORDIC; Field
                 programmable gate arrays; FPGA; Generators; Hardware;
                 Normal random number generator; Random number
                 generation; Ziggurat",
}

@InProceedings{Sovets:2014:CIM,
  author =       "M. Sovets and O. Pavlov",
  booktitle =    "{2014 24th International Crimean Conference Microwave
                 \& Telecommunication Technology}",
  title =        "{CORDIC} integral model of characteristic functions of
                 {3D} teragertz {FMCW} radar",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1023--1024",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/CRMICO.2014.6959742",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Electronic mail; Integral equations; Mathematical
                 model; Noise level; Oscillators; Radar;
                 Three-dimensional displays",
}

@InProceedings{Thabet:2014:RDC,
  author =       "Jihen Thabet and Rim Barrak and Najeh Kamoun and Nadia
                 Khouja and Adel Ghazel",
  booktitle =    "{2014 14th International Symposium on Communications
                 and Information Technologies (ISCIT)}",
  title =        "A reconfigurable Digital Down Converter architecture
                 for multistandard {GNSS} receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "404--408",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ISCIT.2014.7011942",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Band-pass filters; bandpass RF sampling; CORDIC; DDC;
                 decimation filters; Digital signal processing; Field
                 programmable gate arrays; FPGA; Global Positioning
                 System; GNSS signals; Low earth orbit satellites;
                 multistandard radio receiver; Radio frequency;
                 Standards",
}

@Article{Turrillas:2014:AER,
  author =       "M. Turrillas and A. Cort{\'e}s and I. V{\'e}lez and J.
                 F. Sevillano and A. Irizar",
  title =        "An area-efficient Radix 28 {FFT} algorithm for
                 {DVB-T2} receivers",
  journal =      j-MICROELECT-J,
  volume =       "45",
  number =       "10",
  pages =        "1311--1318",
  year =         "2014",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2013.10.016",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "DCIS'12 Special Issue",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026269213002565",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "Application specific integrated circuits (ASIC);
                 Digital video broadcasting -- second generation
                 terrestrial (DVB-T2); Fast Fourier transform (FFT);
                 Orthogonal frequency division multiplexing (OFDM);
                 Tensor product",
}

@InProceedings{Wang:2014:DFS,
  author =       "Long Wang and Qiancheng Zhao and Minghao Nie and
                 Dachuan Liu and Yuxian Liu and Junjie Yan and Zhenchuan
                 Yang and Guizhen Yan",
  booktitle =    "{The 9th IEEE International Conference on Nano/Micro
                 Engineered and Molecular Systems (NEMS)}",
  title =        "Digital fast startup procedure for micro-machined
                 vibratory gyroscopes using optimized fuzzy control
                 strategy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "208--211",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/NEMS.2014.6908792",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "closed-loop drive system; CORDIC algorithm; FPGA;
                 Frequency control; Fuzzy control; fuzzy control;
                 Gyroscopes; MEMS gyroscope; Micromechanical devices;
                 Reactive power; Resonant frequency; Time-frequency
                 analysis",
}

@InProceedings{Wang:2014:NHG,
  author =       "Yuting Wang and Zhisong Bie",
  booktitle =    "{2014 Sixth International Conference on Wireless
                 Communications and Signal Processing (WCSP)}",
  title =        "A novel hardware {Gaussian} noise generator using
                 {Box--Muller} and {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/WCSP.2014.6992107",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Algorithm design and analysis; Computer
                 architecture; Convergence; Equations; Hardware;
                 Mathematical model",
}

@InProceedings{Wu:2014:CBO,
  author =       "Chih-Feng Wu and Muh-Tian Shiue",
  booktitle =    "{2014 6th International Symposium on Communications,
                 Control and Signal Processing (ISCCSP)}",
  title =        "{CORDIC}-based {OFDM} baseband receiver design",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "352--355",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/ISCCSP.2014.6877886",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; Customer relationship management; Field
                 programmable gate arrays; Joints; OFDM; Receivers;
                 Synchronization",
}

@InProceedings{Wu:2014:FPC,
  author =       "Chih-Feng Wu and Muh-Tian Shiue",
  booktitle =    "{2014 IEEE International Conference on Electron
                 Devices and Solid-State Circuits}",
  title =        "{FPGA} prototyping for {CORDIC}-based {OFDM} baseband
                 receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/EDSSC.2014.7061161",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Field programmable gate arrays; OFDM; Quadrature
                 amplitude modulation",
}

@InProceedings{Xu:2014:OFI,
  author =       "Rui Xu and Zhanpeng Jiang and Hai Huang and Changchun
                 Dong",
  booktitle =    "{International Conference on Software Intelligence
                 Technologies and Applications \& International
                 Conference on Frontiers of Internet of Things 2014}",
  title =        "Optimization and {FPGA} implementation of a {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "232--236",
  year =         "2014",
  DOI =          "https://doi.org/10.1049/cp.2014.1566",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Coordinate Rotational Digital Computer; Field
                 Programmable Gate Arrays; hardware description
                 language; ultrahigh-speed integrated circuit",
}

@Article{Zhang:2014:DIH,
  author =       "Chaozhu Zhang and Jinan Han and Ke Li",
  title =        "Design and Implementation of Hybrid {CORDIC} Algorithm
                 Based on Phase Rotation Estimation for {NCO}",
  journal =      "The Scientific World Journal",
  volume =       "2014",
  number =       "1",
  pages =        "897381",
  year =         "2014",
  DOI =          "https://doi.org/10.1155/2014/897381",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1155/2014/897381",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1155/2014/897381",
}

@InProceedings{Zhang:2014:EFI,
  author =       "Jianfeng Zhang and Paul Chow and Hengzhu Liu",
  booktitle =    "{2014 International Conference on Field-Programmable
                 Technology (FPT)}",
  title =        "An efficient {FPGA} implementation of {$ Q R $}
                 decomposition using a novel systolic array architecture
                 based on enhanced vectoring {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "123--130",
  year =         "2014",
  DOI =          "https://doi.org/10.1109/FPT.2014.7082764",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Arrays; Computers; Hardware; Matrix
                 decomposition; Transmission line matrix methods",
}

@InProceedings{Adiono:2015:CTB,
  author =       "Trio Adiono and Vincentius Timothy and Nur Ahmadi and
                 Aditya Candra and Khafit Mufadli",
  booktitle =    "{TENCON 2015 --- 2015 IEEE Region 10 Conference}",
  title =        "{CORDIC} and {Taylor} based {FPGA} music synthesizer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TENCON.2015.7372964",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Delays; FPGA;
                 music synthesizer; Pipelines; Registers; Signal
                 processing algorithms; Synthesizers; Taylor expansion;
                 Taylor series",
}

@InProceedings{Adiono:2015:PCA,
  author =       "Trio Adiono and Nur Ahmadi and Antonius P. Renardy and
                 Ashbir A. Fadila and Naufal Shidqi",
  booktitle =    "{2015 6th Asia Symposium on Quality Electronic Design
                 (ASQED)}",
  title =        "A pipelined {CORDIC} architecture and its
                 implementation in all-digital {FM}
                 modulator-demodulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "37--42",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ACQED.2015.7274004",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; CORDIC; Demodulation;
                 Demodulator; Detectors; FPGA; Frequency modulation;
                 Frequency Modulation; Modems; Modulator",
}

@Article{Benhaoues:2015:VDA,
  author =       "A. Benhaoues and S. Toumi and C. Tanougast and E.
                 Bourennane and K. Messaoudi and H. Mayache",
  title =        "Versatile digital architecture for mobile terminal",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "39",
  number =       "6",
  pages =        "405--417",
  year =         "2015",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2015.05.008",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933115000605",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "Common Operators technique; FFT-SDF; Generic hardware
                 architectures; Optimized architectural synthesis; Rake
                 receiver; {CORDIC} algorithm",
}

@InProceedings{Bhairannawar:2015:EVA,
  author =       "Satish S. Bhairannawar and Sayantam Sarkar and K. B.
                 Raja and K. R. Venugopal",
  booktitle =    "{2015 IEEE International Conference on Signal
                 Processing, Informatics, Communication and Energy
                 Systems (SPICES)}",
  title =        "An efficient {VLSI} architecture for fingerprint
                 recognition using {O2D-DWT} architecture and modified
                 {CORDIC-FFT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/SPICES.2015.7091397",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Databases; Discrete wavelet
                 transforms; Fingerprint based biometric system;
                 Fingerprint recognition; Finite impulse response
                 filters; FPGA; Modified CORDIC processor; Optimized
                 2D-DWT architecture; Optimized FFT architecture; Very
                 large scale integration",
}

@InProceedings{Bhaisare:2015:CAB,
  author =       "Sayali R. Bhaisare and Aniket V. Gokhale and Pravin K.
                 Dakhole",
  booktitle =    "{2015 International Conference on Communications and
                 Signal Processing (ICCSP)}",
  title =        "{CORDIC} architecture based 2-D {DCT} and {IDCT} for
                 image compression",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1473--1477",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICCSP.2015.7322759",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Component; Computer architecture; CORDIC; DCT;
                 Discrete cosine transforms; IDCT; Image processing;
                 Kernel; Low power; Multiplexing; Program processors;
                 Random access memory",
}

@Article{Biswas:2015:CBL,
  author =       "Dwaipayan Biswas and Koushik Maharatna",
  title =        "A {CORDIC}-Based Low-Power Statistical Feature
                 Computation Engine for {WSN} Applications",
  journal =      j-CSSP,
  volume =       "34",
  number =       "12",
  pages =        "4011--4028",
  month =        apr,
  year =         "2015",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-015-0041-5",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InProceedings{Chen:2015:CDI,
  author =       "Zhe Chen and Liyuan Liu and Nanjian Wu",
  booktitle =    "{2015 IEEE SENSORS}",
  title =        "Calculating depth image with pixel-parallel processor
                 for a {TOF} image sensing system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICSENS.2015.7370383",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arrays; Clocks; CORDIC; depth image; Filtering; image
                 sensing system; Image sensors; indirect-TOF; parallel
                 processing; Robot sensing systems",
}

@Article{Chung:2015:FPC,
  author =       "Rih-Lung Chung and Yi-Qin Zhang and Shih-Lun Chen",
  title =        "Fully pipelined {CORDIC}-based inverse kinematic
                 {FPGA} design for biped robots",
  journal =      j-ELECT-LETTERS,
  volume =       "51",
  number =       "16",
  pages =        "1241--1243",
  year =         "2015",
  CODEN =        "ELLEAK",
  DOI =          "https://doi.org/10.1049/el.2015.1604",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/el.2015.1604",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/el.2015.1604",
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
  keywords =     "legged locomotion, field programmable gate arrays,
                 adders, shift registers, digital arithmetic, logic
                 design, fully pipelined CORDIC-based inverse kinematic
                 FPGA design, biped robots, high-speed
                 field-programmable gate array, coordinate rotation
                 digital computer, hardware sharing technique, CORDIC
                 scaling factor generator, cost-efficiency components,
                 adders, shifters",
}

@InProceedings{deDinechin:2015:HIF,
  author =       "Florent de Dinechin and Matei Istoan",
  title =        "Hardware Implementations of Fixed-Point {Atan2}",
  crossref =     "Muller:2015:ISC",
  pages =        "34--41",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ARITH.2015.23",
  bibdate =      "Sat Aug 01 08:05:52 2015",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-22; atan; atan2; atan2pi; CORDIC; hypot;
                 hypotenuse",
  remark-1 =     "From page 35: ``This work essentially focuses on
                 FPGAs. An unexpected result is that, even on modern
                 FPGAs enhanced with DSP blocks and memories, CORDIC is
                 a clear winner.''",
  remark-2 =     "From page 37, on $w$-bit computation: ``We therefore
                 need $g_\alpha = 1 + \lceil \log_2 ((w - 1) \times 0.5)
                 \rceil$ guard bits to absorb all these errors.'' For
                 the four IEEE 754 binary formats, that is 5, 6, 6, and
                 7 extra bits, respectively.",
  remark-3 =     "From page 40: ``On the other hand, the latency of
                 CORDIC does not seem quadratic, it seems linear in w.
                 This is explained by the fact that the carry
                 propagation delay is about 30 times faster than the
                 standard routing used between two iterations. It
                 justifies a posteriori the choice of ignoring redundant
                 versions of CORDIC''.",
  remark-4 =     "From page 41: ``To make things even better for CORDIC,
                 it should be noted that it may also compute the module
                 $\sqrt{x^2 + y^2}$ along with the angle [1]. This costs
                 only one additional constant multiplication by $1 /
                 K$.",
}

@InProceedings{El-Motaz:2015:HSV,
  author =       "Mohammed A. El-Motaz and M. Wagih Ismail and Mohsen
                 Raafat and Ali S. Faried and Mohammed A. Raghieb and
                 Nassr M. Ismail and Sherif A. Hafez and Ahmed H.
                 El-Kady and Esmaail A. El-Sayed and Mohamed A. Sharaf
                 and Ibrahim Shazly and Wael E. Abd El-Kawi and Chadi M.
                 Mohamed and Mohamed N. Elhidery and Karim Mohammed and
                 Omar A. Nasr",
  booktitle =    "{2015 IEEE International Conference on Electronics,
                 Circuits, and Systems (ICECS)}",
  title =        "A highly scalable vector oriented {ASIP}-based
                 multi-standard digital receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "466--469",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICECS.2015.7440349",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Application Specific
                 Instruction-set Processor (ASIP); Computer
                 architecture; COordinate Rotation DIgital Computer
                 (CORDIC); Engines; Multiplexing; Power demand; Random
                 access memory; Software Defined Radio (SDR); Wireless
                 communication",
}

@InProceedings{El-Shafiey:2015:TSO,
  author =       "Ahmed M. El-Shafiey and Mohamed E. Farag and Mohammed
                 A. El-Motaz and Omar A. Nasr and Hossam A. H. Fahmy",
  booktitle =    "{2015 IEEE International Conference on Electronics,
                 Circuits, and Systems (ICECS)}",
  title =        "Two-stage optimization of {CORDIC-friendly} {FFT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "408--411",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICECS.2015.7440335",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Coordinate Rotation Digital Computer (CORDIC); Digital
                 video broadcasting; Fast Fourier Transform (FFT); Fast
                 Fourier transforms; Gain; Indexes; OFDM; Optimized
                 production technology",
}

@InProceedings{ElHajjouji:2015:RTL,
  author =       "I. {El Hajjouji} and A. {El Mourabit} and Z. Asrih and
                 B. Bernoussi and S. Mars",
  booktitle =    "{2015 27th International Conference on
                 Microelectronics (ICM)}",
  title =        "Real-time lane detection in different illumination
                 conditions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "63--66",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICM.2015.7437988",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Cordic; Detectors; Dynamic Threshold; Field
                 programmable gate arrays; FPGA; Hough; Image edge
                 detection; Lighting; Real-time systems; Sobel;
                 Transforms",
}

@InProceedings{Fiala:2015:HEC,
  author =       "Pavel Fiala and Richard Linhart",
  booktitle =    "{2015 23rd Telecommunications Forum Telfor (TELFOR)}",
  title =        "High efficient carrier phase synchronization for {SDR}
                 using {CORDIC} implemented on an {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "512--515",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TELFOR.2015.7377519",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cyclones; Digital communication; digital filters;
                 Digital signal processing; Field programmable gate
                 arrays; FPGA; Mathematical model; Phase shift keying;
                 Receivers; signal processing; Synchronization;
                 synchronization; VHDL",
}

@InProceedings{Gupta:2015:VAE,
  author =       "Shradha Gupta and Sumeet Saurav and Sanjay Singh and
                 Anil K. Saini and Ravi Saini",
  booktitle =    "{2015 International Conference on Advances in
                 Computing, Communications and Informatics (ICACCI)}",
  title =        "{VLSI} architecture of exponential block for
                 non-linear {SVM} classification",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "528--532",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICACCI.2015.7275662",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Clocks; Computer
                 architecture; CORDIC; Elementary Functions; FPGA;
                 Hardware; Non-Linear SVM Classification; Radiation
                 detectors; Signal processing algorithms; Support vector
                 machines; VLSI Architecture",
}

@InProceedings{Hanindhito:2015:USB,
  author =       "Bagus Hanindhito and Hafez Hogantara and Annisa I.
                 Rahmah and Nur Ahmadi and Trio Adiono",
  booktitle =    "{2015 International Symposium on Intelligent Signal
                 Processing and Communication Systems (ISPACS)}",
  title =        "Ultrasonic sensor based contactless theremin using
                 pipeline {CORDIC} as tone generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "268--273",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISPACS.2015.7432778",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antennas; Convergence; FPGA; Irrigation; Oscillators;
                 Periodic structures; Pipelined CORDIC; Pipelines;
                 Theremin; Tone Generator; Ultrasonic Sensor",
}

@InProceedings{Ibrahim:2015:AFI,
  author =       "Ali Ibrahim and Maurizio Valle and Luca Noli and
                 Hussein Chible",
  booktitle =    "{2015 IEEE Computer Society Annual Symposium on
                 VLSI}",
  title =        "Assessment of {FPGA} Implementations of One Sided
                 {Jacobi} Algorithm for Singular Value Decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "56--61",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISVLSI.2015.63",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Cordic method; Field programmable gate arrays; FPGA
                 implementations; Hardware; Jacobian matrices; Matrix
                 decomposition; one sided Jacobi algorithm; Singular
                 value decomposition; Tactile data processing",
}

@InProceedings{Ibrahim:2015:FIF,
  author =       "Ali Ibrahim and Maurizio Valle and Luca Noli and
                 Hussein Chible",
  booktitle =    "{2015 11th Conference on Ph.D. Research in
                 Microelectronics and Electronics (PRIME)}",
  title =        "{FPGA} implementation of fixed point {CORDIC-SVD} for
                 E-skin systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "318--321",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/PRIME.2015.7251399",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC modules; E-skin; Field programmable gate
                 arrays; Hardware; Jacobian matrices; Kernel; Matrix
                 decomposition; Real time FPGA implementation; Singular
                 value decomposition; Singular Value Decomposition;
                 Symmetric matrices; Tensorial Kernel",
}

@InProceedings{Jain:2015:CCS,
  author =       "Nupur Jain and Biswajit Mishra",
  booktitle =    "{2015 19th International Symposium on VLSI Design and
                 Test}",
  title =        "{CORDIC} on a configurable serial architecture for
                 biomedical signal processing applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISVDAT.2015.7208050",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Biomedical signal processing; Clocks; Computer
                 architecture; Configurable hardware; CORDIC; Finite
                 impulse response filters; Hardware; Registers; Serial
                 architecture; Signal processing algorithms",
}

@InProceedings{Jain:2015:DCN,
  author =       "Nupur Jain and Biswajit Mishra",
  booktitle =    "{2015 IEEE Asia Pacific Conference on Postgraduate
                 Research in Microelectronics and Electronics
                 (PrimeAsia)}",
  title =        "{DCT} and {CORDIC} on a novel configurable hardware",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "51--56",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/PrimeAsia.2015.7450469",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; Configurable hardware;
                 CORDIC; DCT; Discrete cosine transforms; Finite impulse
                 response filters; Hardware; Registers",
}

@InProceedings{Jarray:2015:LCE,
  author =       "N. Jarray and M. Elhaji and A. Zitouni",
  booktitle =    "{2015 IEEE 12th International Multi-Conference on
                 Systems, Signals \& Devices (SSD15)}",
  title =        "Low complexity and efficient architecture of {1D-DCT}
                 based {CORDIC--Loeffler} for wireless endoscopy
                 capsule",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/SSD.2015.7348130",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Complexity theory; Computer architecture; DCT;
                 Discrete cosine transforms; Endoscopes; FPGA; Signal
                 processing algorithms; Wireless capsule endoscopy;
                 Wireless communication",
}

@InProceedings{Juang:2015:NRM,
  author =       "Tso-Bing Juang and Chin-Chieh Chiu",
  booktitle =    "{2015 International SoC Design Conference (ISOCC)}",
  title =        "New recoding methods for fully pipelined parallel
                 {CORDIC} rotations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "291--292",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISOCC.2015.7401702",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation error; Circuits and systems; Cities and
                 towns; computer arithmetic; Computer science;
                 Computers; CORDIC; Frequency synthesizers; Generators;
                 sine/cosine generation; VLSI",
}

@InProceedings{Katkar:2015:RCA,
  author =       "Prajakta J. Katkar and Yogesh S. Angal",
  booktitle =    "{2015 International Conference on Information
                 Processing (ICIP)}",
  title =        "Realization of {CORDIC} algorithm in {DDS}: Novel
                 Approch towards Digital Modulators in {MATLAB} and
                 {VHDL}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "355--359",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/INFOP.2015.7489407",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude shift keying; ASK; BPSK; Clocks;
                 Communication Systems; CORDIC Algorithm; DDS; Frequency
                 shift keying; FSK; MATLAB; Phase shift keying; PSK;
                 QAM; QPSK",
}

@InProceedings{Khurshid:2015:PEI,
  author =       "Burhan Khurshid and Roohie Naaz Mir",
  booktitle =    "{2015 International Conference on VLSI Systems,
                 Architecture, Technology and Applications
                 (VLSI-SATA)}",
  title =        "Power efficient implementation of bit-parallel
                 unrolled {CORDIC} structures for {FPGA} platforms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/VLSI-SATA.2015.7050466",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ASIC; Clocks; CORDIC; Digital signal processing; DSP;
                 Dynamic scheduling; Field programmable gate arrays;
                 FPGA; Look up table; Switches",
}

@InProceedings{Kislal:2015:ISD,
  author =       "A. Oguz Kislal and Arda Demiray and Osman Ceylan and
                 H. Bulent Yagci",
  booktitle =    "{2015 23rd Telecommunications Forum Telfor (TELFOR)}",
  title =        "Implementation of a software defined {FM} mixed
                 demodulator on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "333--336",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TELFOR.2015.7377477",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Demodulation; Digital FM; Field programmable
                 gate arrays; Finite impulse response filters; FPGA;
                 Frequency modulation; Mixers; Phase locked loops; SDR",
}

@InProceedings{Kumar:2015:AFO,
  author =       "Vinay Kumar M and David Selvakumar A and Sobha P M",
  booktitle =    "{2015 International Conference on VLSI Systems,
                 Architecture, Technology and Applications
                 (VLSI-SATA)}",
  title =        "Area and frequency optimized 1024 point Radix-2 {FFT}
                 processor on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/VLSI-SATA.2015.7050487",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "1024-point FFT; 64bit Fixed Point Arithmetic; CORDIC;
                 Digital signal processing; Discrete Fourier transforms;
                 FFT processor; FPGA; Generators; Indexes; Indium tin
                 oxide; Ping-Pong operation; Radix-2 Butterfly; Random
                 access memory; Table lookup; Verilog HDL; Virtex-6",
}

@InProceedings{Kusumah:2015:CBD,
  author =       "Rizky Kusumah and Dicky Cahyadi and Gandhika Kumara
                 and Trio Adiono",
  booktitle =    "{TENCON 2015 --- 2015 IEEE Region 10 Conference}",
  title =        "{CORDIC}-based digital sound synthesizer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TENCON.2015.7373158",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CORDIC; digital signal processing; digital
                 sound synthesizer; FPGA; Frequency control; Frequency
                 modulation; Frequency synthesizers; Generators;
                 Loudspeakers; Synthesizers",
}

@Article{Lin:2015:LCH,
  author =       "Jing-Shiun Lin and Yin-Tsung Hwang and Shih-Hao Fang
                 and Po-Han Chu and Ming-Der Shieh",
  title =        "Low-Complexity High-Throughput {$ Q R $} Decomposition
                 Design for {MIMO} Systems",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "23",
  number =       "10",
  pages =        "2342--2346",
  year =         "2015",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2014.2361906",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Clocks; Complexity theory; Coordinate rotation digital
                 computer (CORDIC); Hardware; Logic gates; Matrix
                 converters; MIMO; multiple-input multiple-output
                 (MIMO); QR decomposition (QRD); QR decomposition
                 (QRD).; Vectors",
}

@InProceedings{Liu:2015:IFC,
  author =       "Xiaoning Liu and Yizhuang Xie and He Chen and Bingyi
                 Li",
  booktitle =    "{IET International Radar Conference 2015}",
  title =        "Implementation on {FPGA} for {CORDIC}-based
                 computation of arcsine and arccosine",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2015",
  DOI =          "https://doi.org/10.1049/cp.2015.1306",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "arccosine; arcsine; CORDIC; Double Iteration
                 Algorithm",
}

@InProceedings{Mack:2015:FPC,
  author =       "Joshua Mack and Sam Bellestri and Daniel Llamocca",
  booktitle =    "{2015 International Conference on ReConFigurable
                 Computing and FPGAs (ReConFig)}",
  title =        "Floating point {CORDIC}-based architecture for
                 powering computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ReConFig.2015.7393311",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 computer arithmetic; Convergence; CORDIC; Engines;
                 floating point arithmetic; Floating-point arithmetic;
                 Hardware; powering; Signal processing algorithms",
}

@InProceedings{Mal:2015:VBT,
  author =       "Arindam Mal and Jayanta Laha and Tanisha Bhatia and
                 Subhalakshmi K and Shwetha Kanawalli",
  booktitle =    "{2015 International Conference on Trends in
                 Automation, Communications and Computing Technology
                 (I-TACT-15)}",
  title =        "Vision based terrain relative navigation sensor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ITACT.2015.7492669",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; CoreFFT; Correlation; Detectors; Digital
                 signal processing; Field programmable gate arrays;
                 Navigation; Phase correlation; Random access memory;
                 RTAX2000S FPGA; Space vehicles",
}

@InProceedings{Mishra:2015:SCG,
  author =       "Ansuman Mishra and S. Sivanantham and K.
                 Sivasankaran",
  booktitle =    "{2015 Online International Conference on Green
                 Engineering and Technologies (IC-GET)}",
  title =        "Sine and cosine generator using {CORDIC} algorithm
                 implemented in {ASIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/GET.2015.7453811",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Conferences; CORDIC; Cosine; Digital signal processing;
                 Mathematical model; Physical design; Signal processing
                 algorithms; Sine",
}

@InProceedings{Muchahary:2015:CBD,
  author =       "Deboraj Muchahary and Abir J. Mondal and Alak
                 Majumder",
  booktitle =    "{2015 International Conference on Communications and
                 Signal Processing (ICCSP)}",
  title =        "A {CORDIC} based design technique for efficient
                 computation of {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "0484--0489",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICCSP.2015.7322431",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; Computers;
                 CORDIC; DCT; DFT; FFT; Image coding; Image recognition;
                 Mathematical model; MSR-CORDIC; Multimedia
                 communication",
}

@InProceedings{Muchahary:2015:SDA,
  author =       "Deboraj Muchahary and Abir J. Mondal and Rajesh Singh
                 Parmar and Amlan Deep Borah and Alak Majumder",
  booktitle =    "{2015 Fifth International Conference on Communication
                 Systems and Network Technologies}",
  title =        "A Simplified Design Approach for Efficient Computation
                 of {DCT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "483--487",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/CSNT.2015.134",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Algorithm design and analysis; Computational
                 complexity; CORDIC; DCT; DFT; Discrete cosine
                 transforms; Discrete Fourier transforms; FFT; RADIX;
                 Signal processing algorithms",
}

@Article{Munoz:2015:HTF,
  author =       "Sergio D. Mu{\~n}oz and Javier Hormigo",
  title =        "High-Throughput {FPGA} Implementation of {$ Q R $}
                 Decomposition",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "62",
  number =       "9",
  pages =        "861--865",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TCSII.2015.2435753",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Arrays; COordinate Rotation DIgital Computer (CORDIC);
                 CORDIC; Field programmable gate arrays;
                 field-programmable gate array (FPGA); FPGA; Hardware;
                 high throughput; high-throughput; Matrix decomposition;
                 pipelined; QR Decomposition; QR decomposition;
                 Schedules; systolic array; Throughput",
}

@InProceedings{Munoz:2015:IFP,
  author =       "Sergio D. Mu{\~n}oz and Javier Hormigo",
  booktitle =    "{2015 International Symposium on Consumer Electronics
                 (ISCE)}",
  title =        "Improving fixed-point implementation of {$ Q R $}
                 decomposition by rounding-to-nearest",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISCE.2015.7177822",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "adaptive filters; Computer architecture; Consumer
                 communication; Consumer electronics; CORDIC; Delays;
                 Field programmable gate arrays; fixed-point
                 optimization; Hardware; Matrix decomposition; MIMO;
                 QRD; watermarking; Watermarking",
}

@InProceedings{Nguyen:2015:LRL,
  author =       "Hong-Thu Nguyen and Xuan-Thuan Nguyen and Cong-Kha
                 Pham and Trong-Thuc Hoang and Duc-Hung Le",
  booktitle =    "{TENCON 2015 --- 2015 IEEE Region 10 Conference}",
  title =        "A low-resource low-latency hybrid adaptive {CORDIC} in
                 180-nm {CMOS} technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/TENCON.2015.7372987",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS integrated circuits; CMOS technology; Digital
                 signal processing; Logic gates; Registers; Signal to
                 noise ratio; Table lookup",
}

@InProceedings{Nilsson:2015:LPU,
  author =       "Peter Nilsson and Yuhang Sun and Rakesh Gangarajaiah
                 and Erik Hertz",
  booktitle =    "{2015 Nordic Circuits and Systems Conference (NORCAS):
                 NORCHIP \& International Symposium on System-on-Chip
                 (SoC)}",
  title =        "Low power unrolled {CORDIC} architectures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/NORCHIP.2015.7364396",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Approximation methods; Computer architecture;
                 Hardware; Microprocessors; Power demand; Silicon",
}

@InBook{Nolting:2015:EDR,
  author =       "Stephan Nolting and Guillermo Pay{\'a}-Vay{\'a} and
                 Florian Giesemann and Holger Blume",
  booktitle =    "Applied Reconfigurable Computing",
  title =        "Exploring Dynamic Reconfigurable {CORDIC}
                 Co-Processors Tightly Coupled with a {VLIW-SIMD}
                 Soft-Processor Architecture",
  publisher =    "Springer International Publishing",
  pages =        "401--410",
  year =         "2015",
  DOI =          "https://doi.org/10.1007/978-3-319-16214-0_36",
  ISBN =         "3-319-16214-4",
  ISBN-13 =      "978-3-319-16214-0",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Oza:2015:PIH,
  author =       "Saharsh Samir Oza and Ankit Parag Shah and Tarun
                 Thokala and Sumam David",
  booktitle =    "{2015 International Conference on Computing and
                 Network Communications (CoCoNet)}",
  title =        "Pipelined implementation of high radix adaptive
                 {CORDIC} as a coprocessor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "333--342",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/CoCoNet.2015.7411207",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "adaptive; Adaptive systems; Algorithm design and
                 analysis; Approximation algorithms; Computer
                 architecture; Convergence; coprocessor; Coprocessors;
                 CORDIC; Hardware; iterative; pipelined",
}

@Article{Petrovsky:2015:CLF,
  author =       "Nick Petrovsky and Andrew Stankevich and Alexander
                 Petrovsky",
  title =        "{CORDIC}-lifting factorization of paraunitary filter
                 banks based on the quaternionic multipliers for
                 lossless image coding",
  journal =      "Multidimensional Systems and Signal Processing",
  volume =       "27",
  number =       "3",
  pages =        "667--695",
  month =        mar,
  year =         "2015",
  DOI =          "https://doi.org/10.1007/s11045-015-0323-x",
  ISSN =         "1573-0824",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Priyantini:2015:EIG,
  author =       "Dwi Teguh Priyantini and Yulistiyan Wardhana and
                 Machmud Roby Alhamidi and Dwi M. J. Purnomo and
                 Aprinaldi and Petrus Mursanto and Wisnu Jatmiko",
  booktitle =    "{2015 International Conference on Computers,
                 Communications, and Systems (ICCCS)}",
  title =        "An efficient implementation of generalized extreme
                 {Studentized} deviate ({GESD}) on field programmable
                 gate array ({FPGA})",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "14--18",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/CCOMS.2015.7562844",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Binomial Division;
                 CORDIC; Field programmable gate arrays; FPGA;
                 Generalized Extreme Student Deviate (GESD); Hardware
                 design languages; Logic gates; Mathematical model; Non
                 Restoring Division; Outliers; Signal processing
                 algorithms; Square Root; Standards",
}

@InProceedings{Ranganathan:2015:LCF,
  author =       "Senthilkumar Ranganathan and H. S. Sriharsha and Ravi
                 Kumar Krishnan",
  booktitle =    "{2015 IEEE International Conference on Computational
                 Intelligence and Computing Research (ICCIC)}",
  title =        "Low cost {FPGA} implementation of {SPWM} using
                 dynamically configurable switching frequency for three
                 phase voltage source inverter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICCIC.2015.7435665",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Field programmable gate arrays; FPGA;
                 Generators; Inverters; Pipelined CORDIC; Pulse width
                 modulation; SPWM; Switches; Switching frequency; THD;
                 Three-phase DC/AC inverters",
}

@InProceedings{Renardy:2015:FIC,
  author =       "Antonius P. Renardy and Nur Ahmadi and Ashbir A.
                 Fadila and Naufal Shidqi and Trio Adiono",
  booktitle =    "{2015 International Conference on Electrical
                 Engineering and Informatics (ICEEI)}",
  title =        "{FPGA} implementation of {CORDIC} algorithms for sine
                 and cosine generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICEEI.2015.7352460",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Detectors;
                 Electrical engineering; FPGA; Hardware; Signal
                 processing algorithms; Sine and Cosine Generator; Table
                 lookup; VSFA",
}

@InProceedings{S:2015:DEU,
  author =       "Vaishnavi S and Titiksha B and Vinay RJ and J.
                 Manikandan",
  booktitle =    "{2015 Annual IEEE India Conference (INDICON)}",
  title =        "Design and evaluation of universal modulators",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/INDICON.2015.7443273",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Amplitude shift keying;
                 CORDIC; Field programmable gate arrays; FPGA; Frequency
                 shift keying; Hardware; Signal Processing; Universal
                 Modulators",
}

@InProceedings{Sarode:2015:MRC,
  author =       "Namrata Sarode and Rajeev Atluri and P. K. Dakhole",
  booktitle =    "{2015 International Conference on Communications and
                 Signal Processing (ICCSP)}",
  title =        "Mixed-radix and {CORDIC} algorithm for implementation
                 of {FFT}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1628--1634",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICCSP.2015.7322794",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Fast Fourier transform (FFT); Filtering
                 algorithms; Indexes; Mixed Radix Multipath Delay
                 Feedback (MRMDF); Polynomials; Yttrium",
}

@InProceedings{Shinde:2015:TFG,
  author =       "Suraj N. Shinde",
  booktitle =    "{2015 International Conference on Computer,
                 Communication and Control (IC4)}",
  title =        "Twiddle factor generation using {CORDIC} processor for
                 fingerprint application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/IC4.2015.7375673",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computers; Conferences; CORDIC algorithm; Feature
                 extraction; fingerprint; Fingerprint recognition; FPGA;
                 Hardware; Image matching; Random access memory;
                 rotation and vectoring mode",
}

@InProceedings{Singh:2015:DIQ,
  author =       "Arun Kumar Singh and Madhav Kumar Singh and Kailash
                 Chandra Ray",
  booktitle =    "{2015 IEEE International Symposium on Nanoelectronic
                 and Information Systems}",
  title =        "Design and Implementation of Quadruple Floating-Point
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "286--290",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/iNIS.2015.23",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Dynamic range; FPGA
                 Implementation; Hardware design languages; Heuristic
                 algorithms; Mathematical model; Quadruple
                 Floating-Point; Signal processing algorithms;
                 Standards; VLSI Architecture",
}

@InProceedings{Singh:2015:MWC,
  author =       "Ashish Kumar Singh and Yong Hoon Kim",
  booktitle =    "{International Conference on Computing, Communication
                 \& Automation}",
  title =        "Methods of wideband chirp signal generation using
                 {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1243--1247",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/CCAA.2015.7148609",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Chirp; Chirp signal; Clocks; CORDIC; direct digital
                 synthesis; Field programmable gate arrays; FPGA;
                 Frequency modulation; Radar; Radar signal processing;
                 Table lookup; Virtex 6",
}

@InProceedings{T:2015:IHC,
  author =       "Yamunadevi T. and Parmasivam C.",
  booktitle =    "{2015 International Conference on Innovations in
                 Information, Embedded and Communication Systems
                 (ICIIECS)}",
  title =        "Implementation of Hyperbolic {CORDIC}-based {VLSI}
                 architecture for {Kaiser--Bessel} Window techniques in
                 spectral analysis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICIIECS.2015.7193234",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bessel argument generator; Bessel Function; DH-HEMTs;
                 Generators; Hyperbolic CORDIC; Indexes; Integrated
                 circuits; Kaiser Bessel Window Co-efficient; Silicon",
}

@Article{Tiwari:2015:HIN,
  author =       "Vipin Tiwari and Nilay Khare",
  title =        "Hardware implementation of neural network with
                 Sigmoidal activation functions using {CORDIC}",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "39",
  number =       "6",
  pages =        "373--381",
  year =         "2015",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2015.05.012",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933115000642",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "CORDIC; Field Programmable Gate Array (FPGA);
                 Hardware; Neural network",
}

@InProceedings{Venkaiah:2015:IDD,
  author =       "Papanaboina Venkaiah and T. Laxmi Pradeep Kumar",
  booktitle =    "{2015 IEEE MTT-S International Microwave and RF
                 Conference (IMaRC)}",
  title =        "Implementation of {DPSK} demodulation using quadrants
                 method in {FPGA} for {IFF} {MKXII} digital receiver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "96--98",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/IMaRC.2015.7411392",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CIVIL Mode S; CORDIC; Delays; Demodulation;
                 Differential phase shift keying; DPSK; Field
                 programmable gate arrays; FPGA Resources; Hardware; IFF
                 MKXII; Quadrants method; Receivers; Transponders",
}

@InProceedings{Wawryn:2015:FID,
  author =       "Krzysztof Wawryn and Pawe Poczekaj{\l}o and Robert
                 Wirski",
  booktitle =    "{2015 22nd International Conference Mixed Design of
                 Integrated Circuits \& Systems (MIXDES)}",
  title =        "{FPGA} implementation of 3-D separable {Gauss} filter
                 using pipeline rotation structures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "589--594",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/MIXDES.2015.7208592",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "3-D DSP; 3-D signal; CORDIC algorithm; Delays; Digital
                 signal processing; Field programmable gate arrays;
                 Finite impulse response filters; FPGA; Givens rotation;
                 Hardware; Noise; pipeline structure; Sensitivity",
}

@Article{Wong:2015:CBF,
  author =       "Ching-Chang Wong and Chi-Tai Cheng and Chih-Cheng Liu
                 and Yueh-Yang Hu",
  title =        "{CORDIC}-based {FPGA} hardware design method for biped
                 walking gait",
  journal =      j-J-CHINESE-INST-ENG,
  volume =       "38",
  number =       "5",
  pages =        "610--620",
  month =        feb,
  year =         "2015",
  CODEN =        "CKCKDZ",
  DOI =          "https://doi.org/10.1080/02533839.2015.1010454",
  ISSN =         "2158-7299",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Chinese Institute of Engineers =
                 Chung-kuo kung ch'eng hsueh kan",
}

@InProceedings{Wu:2015:RIC,
  author =       "Caipeng Wu and Binquan Zhou and Jiancheng Fang",
  booktitle =    "{2015 4th International Conference on Computer Science
                 and Network Technology (ICCSNT)}",
  title =        "Research on improved {CORDIC} algorithm for high
                 accuracy {DDS} signal source",
  volume =       "01",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1351--1355",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ICCSNT.2015.7490979",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Booth's algorithm; Convergence; Coordinate
                 Rotation Digital Computer (CORDIC); Direct Digital
                 Synthesis (DDS); Mathematical model; Read only memory;
                 rotation angle optimization; scaling-free; Table
                 lookup; Upper bound",
}

@InProceedings{Wu:2015:SSS,
  author =       "Caipeng Wu and Binquan Zhou and Jiancheng Fang",
  booktitle =    "{2015 IEEE International Conference on Progress in
                 Informatics and Computing (PIC)}",
  title =        "Study on spurious suppression method of high accuracy
                 {DDS}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "516--520",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/PIC.2015.7489901",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "angle optimization; Computational modeling; Computers;
                 DDS; improved CORDIC algorithm; Nickel; Read only
                 memory; signal source simulation; spurious
                 suppression",
}

@Article{Yasodai:2015:NDS,
  author =       "A. Yasodai and A. V. Ramprasad",
  title =        "Noise degradation system using {Wiener} filter and
                 {CORDIC} based {FFT\slash IFFT} processor",
  journal =      "Journal of Central South University",
  volume =       "22",
  number =       "10",
  pages =        "3849--3859",
  month =        oct,
  year =         "2015",
  DOI =          "https://doi.org/10.1007/s11771-015-2929-4",
  ISSN =         "2227-5223",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Yin:2015:NRT,
  author =       "Hongxu Yin and Bah Hwee Gwee and Zhiping Lin and Anil
                 Kumar and Sirajudeen Gulam Razul and Chong Meng Samson
                 See",
  booktitle =    "{2015 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Novel real-time system design for floating-point
                 sub-Nyquist multi-coset signal blind reconstruction",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "954--957",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/ISCAS.2015.7168793",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Field programmable gate arrays; FPGA; Jacobi;
                 Jacobian matrices; Mathematical model; multi-coset
                 sampling; Multiband; Multiple signal classification;
                 MUSIC; Real-time systems; Reconstruction; Signal to
                 noise ratio; sub-Nyquist",
}

@Article{Zhang:2015:EAR,
  author =       "Jianfeng Zhang and Paul Chow and Hengzhu Liu",
  title =        "An Enhanced Adaptive Recoding Rotation {CORDIC}",
  journal =      j-TRETS,
  volume =       "9",
  number =       "1",
  pages =        "4:1--4:??",
  month =        nov,
  year =         "2015",
  CODEN =        "????",
  DOI =          "https://doi.org/10.1145/2812813",
  ISSN =         "1936-7406 (print), 1936-7414 (electronic)",
  ISSN-L =       "1936-7406",
  bibdate =      "Tue Dec 22 16:19:56 MST 2015",
  bibsource =    "http://portal.acm.org/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/trets.bib",
  abstract =     "The Conventional Coordinate Rotation Digital Computer
                 (CORDIC) algorithm has been widely used in many
                 applications, particularly in Direct Digital Frequency
                 Synthesizers (DDS) and Fast Fourier Transforms (FFT).
                 However, CORDIC is constrained by the excessive number
                 of iterations, angle data path, and scaling factor
                 compensation. In this article, an enhanced adaptive
                 recoding CORDIC (EARC) is proposed. It uses the
                 enhanced adaptive recoding method to reduce the
                 required iterations and adopts the trigonometric
                 transformation scheme to scale up the rotation angles.
                 Computing sine and cosine is used first to compare the
                 core functionality of EARC with basic CORDIC; then a
                 16-bit DDS and a 1,024-point FFT based on EARC are
                 evaluated to demonstrate the benefits of EARC in larger
                 applications. All the proposed architectures are
                 validated on a Virtex 5 FPGA development platform.
                 Compared with a commercial implementation of CORDIC,
                 EARC requires 33.3\% less hardware resources, provides
                 a twofold speedup, dissipates 70.4\% less power, and
                 improves accuracy in terms of the Bit Error Position
                 (BEP). Compared to the state-of-the-art Hybrid CORDIC,
                 EARC reduces latency by 11.1\% and consumes 17\% less
                 power. Compared with a commercial implementation of
                 DDS, the dissipated power of the proposed DDS is
                 reduced by 27.2\%. The proposed DDS improves
                 Spurious-Free Dynamic Range (SFDR) by nearly 7 dBc and
                 dissipates 21.8\% less power when compared with a
                 recently published DDS circuit. The FFT based on EARC
                 dissipates a factor of 2.05 less power than the
                 commercial FFT even when choosing the 100\% toggle rate
                 for the FFT based on EARC and the 12.5\% toggle rate
                 for the commercial FFT. Compared with a recently
                 published FFT, the FFT based on EARC improves
                 Signal-to-Noise Ratio (SNR) by 8.9 dB and consumes
                 7.78\% less power.",
  acknowledgement = ack-nhfb,
  ajournal =     "ACM Trans. Reconfigurable Technol. Syst.",
  articleno =    "4",
  fjournal =     "ACM Transactions on Reconfigurable Technology and
                 Systems (TRETS)",
  journal-URL =  "https://dl.acm.org/loi/trets",
}

@InProceedings{Zhang:2015:FIL,
  author =       "Jianfeng Zhang and Paul Chow and Hengzhu Liu",
  booktitle =    "{2015 International Conference on Field Programmable
                 Technology (FPT)}",
  title =        "{FPGA} implementation of low-power and {high-PSNR}
                 {DCT\slash IDCT} architecture based on adaptive
                 recoding {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "128--135",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/FPT.2015.7393139",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Computers; Discrete
                 cosine transforms; Electronic mail; Field programmable
                 gate arrays",
}

@InProceedings{Zhang:2015:LPH,
  author =       "Jianfeng Zhang and Hengzhu Liu",
  booktitle =    "{2015 IEEE 16th Annual Wireless and Microwave
                 Technology Conference (WAMICON)}",
  title =        "A low-power and {high-SFDR} Direct Digital Frequency
                 Synthesizer based on adaptive recoding {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2015",
  DOI =          "https://doi.org/10.1109/WAMICON.2015.7120358",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; DDS; Field programmable gate arrays;
                 Inverters; Logic gates; LUT; Optimization; Random
                 access memory; SFDR; Table lookup; Virtex 5 FPGA",
}

@Article{Aggarwal:2016:CDI,
  author =       "Supriya Aggarwal and Pramod K. Meher and Kavita
                 Khare",
  title =        "Concept, Design, and Implementation of Reconfigurable
                 {CORDIC}",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "24",
  number =       "4",
  pages =        "1588--1592",
  year =         "2016",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2015.2445855",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Algorithm design and analysis; Circular trigonometry;
                 Computer architecture; coordinate rotation digital
                 computer (CORDIC); hyperbolic trigonometry; Pipelines;
                 Program processors; reconfigurable CORDIC;
                 reconfigurable CORDIC.; Signal processing algorithms;
                 Trajectory; Very large scale integration",
}

@Article{Alcin:2016:HDI,
  author =       "Murat Al{\c{c}}{\i}n and {\.I}hsan Pehlivan and
                 {\.I}smail Koyuncu",
  title =        "Hardware design and implementation of a novel
                 {ANN}-based chaotic generator in {FPGA}",
  journal =      j-OPTIK,
  volume =       "127",
  number =       "13",
  pages =        "5500--5505",
  year =         "2016",
  CODEN =        "OTIKAJ",
  DOI =          "https://doi.org/10.1016/j.ijleo.2016.03.042",
  ISSN =         "0030-4026 (print), 1618-1336 (electronic)",
  ISSN-L =       "0030-4026",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0030402616302108",
  acknowledgement = ack-nhfb,
  fjournal =     "Optik",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00304026",
  keywords =     "Artificial Neural Networks; Chaotic systems; Field
                 Programmable Gate Arrays; VHDL",
}

@InProceedings{Babu:2016:IMB,
  author =       "M. Madhu Babu and K. Rama Naidu",
  booktitle =    "{2016 IEEE International Conference on Recent Trends
                 in Electronics, Information \& Communication Technology
                 (RTEICT)}",
  title =        "Implementation of multiplexers based pipelined
                 {CORDIC} for {OFDM} systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1089--1093",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/RTEICT.2016.7807999",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Conferences; CORDIC; FFT; FPGA; IFFT; Market
                 research; MUX; OFDM; OFDM PIPELINED; Registers;
                 UNROLLED",
}

@InProceedings{Bhardwaj:2016:LCS,
  author =       "Swati Bhardwaj and Adapa Bhagyaraja and R. Shashank
                 and Pranit Jadhav and Dwaipayan Biswas and Amit
                 Acharyya and Ganesh R. Naik",
  booktitle =    "{2016 IEEE International Workshop on Signal Processing
                 Systems (SiPS)}",
  title =        "Low Complexity Single Channel {ICA} Architecture
                 Design Methodology for Pervasive Healthcare
                 Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "39--44",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/SiPS.2016.15",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clustering algorithms; Complexity theory; Computer
                 architecture; CORDIC; Electroencephalography; EVD; FFT;
                 Hardware; k-means clustering; Medical services;
                 Pervasive Healthcare; SCICA; Signal processing
                 algorithms",
}

@InProceedings{BuenodeCarvalho:2016:AOC,
  author =       "Paulo Roberto {Bueno de Carvalho} and Jos{\'e}
                 Alejandro {Amaya Palacio} and Wilhelmus {Van Noije}",
  booktitle =    "{2016 IEEE International Frequency Control Symposium
                 (IFCS)}",
  title =        "Area optimized {CORDIC}-based numerically controlled
                 oscillator for electrical bio-impedance spectroscopy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/FCS.2016.7546728",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustics; Aluminum nitride; Bio-Impedance
                 Spectroscopy; CORDIC; Detectors; Direct Digital
                 Frequency Synthesizer (DDFS); Electrodes; FPGA; III-V
                 semiconductor materials; Impedance; Numerically
                 Controlled Oscillator (NCO); Resonant frequency;
                 Resources Sharing Technique; RTL optimization",
}

@InProceedings{Camarda:2016:IDP,
  author =       "Federico D. Camarda and Octavio Alpago and Nicol{\'a}s
                 Alvarez and Federico Zacchigna and Ariel Lutenberg",
  booktitle =    "{2016 IEEE Biennial Congress of Argentina
                 (ARGENCON)}",
  title =        "Implementation of a {$ Q R $} Decomposition Processor
                 using Walke Mapping on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ARGENCON.2016.7585269",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Beamforming; Computer
                 architecture; CORDIC; FPGA; Givens Rotations; Hardware;
                 Matrix; Matrix decomposition; Microprocessors; QR
                 Decomposition; QRD; Real Time Algorithms; Recursive
                 Least Squares; RLS; Signal Processing; Signal
                 processing algorithms; Software Defined Radio",
}

@InProceedings{Cervantes:2016:IOC,
  author =       "Adrian Cervantes and Francis Lopez and Jeffry Quiros
                 and Diego Rodriguez and Carlos Salazar-Garcia and
                 Carlos Meza and Alfonso Chacon-Rodriguez",
  booktitle =    "{2016 IEEE 36th Central American and Panama Convention
                 (CONCAPAN XXXVI)}",
  title =        "Implementation of an open core {IEEE 754}-based {FPU}
                 with non-linear arithmetic support",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CONCAPAN.2016.7942354",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Field programmable gate arrays;
                 floating point arithmetic; FPGA; Hardware; IEEE 754
                 floating point representation; Mathematical model;
                 Process control; Signal processing algorithms;
                 Standards; Verilog",
}

@Article{Chen:2016:CFP,
  author =       "Jiyang Chen and Yuanwu Lei and Yuanxi Peng and
                 Tingting He and Ziye Deng",
  title =        "Configurable Floating-Point {FFT} Accelerator on
                 {FPGA} Based Multiple-Rotation {CORDIC}",
  journal =      "Chinese Journal of Electronics",
  volume =       "25",
  number =       "6",
  pages =        "1063--1070",
  year =         "2016",
  DOI =          "https://doi.org/10.1049/cje.2016.08.002",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/cje.2016.08.002",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/cje.2016.08.002",
  keywords =     "clock frequency; configurable floating-point FFT
                 accelerator; coordinate rotation digital computer;
                 Coordinate rotation digital computer (CORDIC); CORDIC
                 algorithm; CORDIC rotation; Costs; Digital computers;
                 Fast Fourier transform (FFT); fast Fourier transform
                 accelerator; fast Fourier transforms; Fast Fourier
                 transforms; Field programmable gate arrays; floating
                 point arithmetic; Floating-point; FPGA; FPGA based
                 multiple-rotation CORDIC; hardware cost; Prediction
                 algorithms; Random access memory; Real-time systems;
                 redundant CORDIC; redundant number systems;
                 segmented-parallel iteration; signal processing; Signal
                 processing; Signal processing algorithms; Table lookup;
                 twiddle angles; twiddle direction prediction",
}

@InProceedings{Chen:2016:DIL,
  author =       "Wei-Yang Chen and Daniel Guenther and Chung-An Shen
                 and Gerd Ascheid",
  booktitle =    "{2016 IEEE Asia Pacific Conference on Circuits and
                 Systems (APCCAS)}",
  title =        "Design and implementation of a low-latency,
                 high-throughput sorted {$ Q R $} decomposition circuit
                 for {MIMO} communications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "277--280",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/APCCAS.2016.7803953",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Computer architecture; Delays; High
                 Throughput CORDIC; Matrix decomposition; MIMO; Sorted
                 QR; Sorting; Throughput",
}

@InProceedings{Chen:2016:FPA,
  author =       "Linbin Chen and Fabrizio Lombardi and Jie Han and
                 Weiqiang Liu",
  booktitle =    "{2016 IEEE/ACM International Symposium on Nanoscale
                 Architectures (NANOARCH)}",
  title =        "A fully parallel approximate {CORDIC} design",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "197--202",
  year =         "2016",
  DOI =          "https://doi.org/10.1145/2950067.2950076",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Error distance; Inexact computing; Power
                 dissipation; Servers",
}

@InProceedings{Chinnaaiah:2016:UAR,
  author =       "M. C. Chinnaaiah and Saikiran Ambati and Shaheen Begum
                 and Vamsi Thota and L. Mounika",
  booktitle =    "{2016 International Conference on Research Advances in
                 Integrated Navigation Systems (RAINS)}",
  title =        "An unveiling angle of repose with {CORDIC} based
                 etymology using minimal hardware scheme",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/RAINS.2016.7764424",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Angle of repose; Belts;
                 CORDIC; FPGA; Navigation; Powders; Rain; Sensors;
                 sensors; Table lookup",
}

@InProceedings{Chinnaiah:2016:VPP,
  author =       "M. C. Chinnaiah and Sanjay Dubey and K. Anusha and J.
                 Vani and G. {Divya Vani}",
  booktitle =    "{2016 10th International Conference on Intelligent
                 Systems and Control (ISCO)}",
  title =        "A versatile path planning algorithm with behavioural
                 control using {FPGA} based robots",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ISCO.2016.7727076",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Collision avoidance; CORDIC; FPGA; M algorithm; multi
                 robot; Navigation; Obstacle avoidance; Path planning;
                 Robot kinematics; Robot sensing systems; Service
                 robots",
}

@InProceedings{Dubey:2016:NAC,
  author =       "Soumitr Sanjay Dubey and Rahul Shrestha and Shubhajit
                 Roy Chowdhury",
  booktitle =    "{2016 IEEE Annual India Conference (INDICON)}",
  title =        "A novel architecture for computing eigenvalues of
                 matrix for high speed applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/INDICON.2016.7838959",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "co-ordinate rotation-digital computer (CORDIC);
                 Computer architecture; Delays; eigenvalue; Eigenvalues
                 and eigenfunctions; field-programmable gate-array
                 (FPGA); Matrix decomposition; Multiplexing; Ports
                 (Computers); TSA and QR algorithm; Very large scale
                 integration; VLSI architectures",
}

@InProceedings{Dwiputra:2016:DSS,
  author =       "Agung Nuza Dwiputra and Riko Hasiando Goknipasu
                 Nainggolan and Muhammad Arief Ma'ruf Nasution",
  booktitle =    "{2016 International Symposium on Electronics and Smart
                 Devices (ISESD)}",
  title =        "3 dimension sound source localization with
                 cross-correlation and {CORDIC} algorithm on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "365--370",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ISESD.2016.7886749",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Correlation; Delay effects; Field
                 programmable gate arrays; FPGA; Mathematical model;
                 Microphones; Registers; Sound Source Localization;
                 TDOA",
}

@InProceedings{ElHajjouji:2016:FBR,
  author =       "I. {El Hajjouji} and A. {El Mourabit} and Z. Asrih and
                 S. Mars and B. Bernoussi",
  booktitle =    "{2016 International Conference on Electrical and
                 Information Technologies (ICEIT)}",
  title =        "{FPGA} based real-time lane detection and tracking
                 implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "186--190",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/EITech.2016.7519587",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive Threshold; CORDIC; Detectors; Field
                 programmable gate arrays; FPGA; Hough; Image edge
                 detection; Kalman Filter; Kalman filters; Lighting;
                 Real-time systems; Sobel; Transforms",
}

@Article{Gao:2016:RTP,
  author =       "Xingshun Gao and Lei Zhao and Jinxin Liu and Zouyi
                 Jiang and Xiaofang Hu and Shubin Liu and Qi An",
  title =        "Real-Time Phase Correction Based on {FPGA} in the Beam
                 Position and Phase Measurement System",
  journal =      j-IEEE-TRANS-NUCL-SCI,
  volume =       "63",
  number =       "6",
  pages =        "2903--2908",
  year =         "2016",
  CODEN =        "IRNSAM",
  DOI =          "https://doi.org/10.1109/TNS.2016.2614296",
  ISSN =         "0018-9499 (print), 1558-1578 (electronic)",
  ISSN-L =       "0018-9499",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Nuclear Science",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=23",
  keywords =     "Beam measurement; CORDIC; correction; Field
                 programmable gate arrays; Linear accelerators; Particle
                 beam measurements; Particle beams; Phase measurement;
                 phase measurement; Real-time systems; vector rotation",
}

@Article{Garrido:2016:CIN,
  author =       "Mario Garrido and Petter K{\"a}llstr{\"o}m and Martin
                 Kumm and Oscar Gustafsson",
  title =        "{CORDIC II}: a New Improved {CORDIC} Algorithm",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "63",
  number =       "2",
  pages =        "186--190",
  month =        feb,
  year =         "2016",
  DOI =          "https://doi.org/10.1109/TCSII.2015.2483422",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Adders; Convergence; CORDIC; CORDIC II; digital
                 arithmetic; friend angles; Hardware; Kernel;
                 Multiplexing; nano-rotation; nanorotation; rotation;
                 rotation angle; Signal processing algorithms; uniformly
                 scaled redundant (USR) CORDIC; USR",
}

@Article{Garrido:2016:MUG,
  author =       "Mario Garrido and Rikard Andersson and Fahad Qureshi
                 and Oscar Gustafsson",
  title =        "Multiplierless Unity-Gain {SDF} {FFTs}",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "24",
  number =       "9",
  pages =        "3003--3007",
  year =         "2016",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2016.2542583",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Adders; Algorithm design and analysis; Combined
                 coefficient selection and shift-and-add implementation
                 (CCSSI); Computer architecture; coordinate rotation
                 digital computer (CORDIC); fast Fourier transform
                 (FFT); Fast Fourier transforms; Hardware;
                 multiplierless; pipelined architecture; single-delay
                 feedback (SDF); Throughput; unity gain; Very large
                 scale integration",
}

@Misc{Hachachi:2016:EMC,
  author =       "Yassine Hacha{\"\i}chi and Younes Lahbib",
  title =        "An efficient mathematically correct scale free
                 {CORDIC}",
  year =         "2016",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1606.02468",
  abstract =     "In order to approximate transcendental functions,
                 several algorithms where this \ldots{}, polynomial
                 interpolation, infinite series, and other +, *, and /
                 based algorithms were studied for this CORDIC
                 (COordinate Rotation DIgital Computer) introduced by
                 Jack E. Volder in 1959, and generalized by
                 J. S. Walther a few years later, is a hardware based
                 algorithm for the approximation of trigonometric,
                 hyperbolic and logarithmic \ldots{} a consequence,
                 CORDIC is used for applications in diverse areas such
                 as signal and image \ldots{} these reasons,
                 several modified versions were \ldots{} this article,
                 we present an overview of the CORDIC algorithm for the
                 computation of the circular functions, essentially the
                 scaling free version, and we will give a substantial
                 improvement to the commonly used one.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "1606.02468",
  primaryclass = "cs.NA",
}

@Article{Heidarpour:2016:CBD,
  author =       "Moslem Heidarpour and Arash Ahmadi and Rashid
                 Rashidzadeh",
  title =        "A {CORDIC} Based Digital Hardware For Adaptive
                 Exponential Integrate and Fire Neuron",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "63",
  number =       "11",
  pages =        "1986--1996",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/TCSI.2016.2598161",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Adaptation models; Adaptive exponential integrate and
                 fire (AdEx); Biological neural networks; biological
                 neuron model; Biological system modeling; Computational
                 modeling; CORDIC; digital implementation; Hardware;
                 Mathematical model; neuromorphic; Neurons; spiking
                 neural network",
  xxnote =       "Check author: Heidarpour or Heidarpur??",
}

@InProceedings{Hoang:2016:HAC,
  author =       "Trong-Thuc Hoang and Duc-Hung Le and Hong-Thu Nguyen
                 and Xuan-Thuan Nguyen and Cong-Kha Pham",
  booktitle =    "{2016 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A hybrid adaptive {CORDIC} in 65nm {SOTB} {CMOS}
                 process",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2158--2161",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ISCAS.2016.7539008",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CMOS integrated circuits; CMOS process;
                 Leakage currents; Power demand; Silicon-on-insulator",
}

@InProceedings{Hoang:2016:HPD,
  author =       "Trong-Thuc Hoang and Hong-Thu Nguyen and Xuan-Thuan
                 Nguyen and Cong-Kha Pham and Duc-Hung Le",
  booktitle =    "{2016 IEEE Sixth International Conference on
                 Communications and Electronics (ICCE)}",
  title =        "High-performance {DCT} architecture based on angle
                 recoding {CORDIC} and Scale-Free Factor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "199--204",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CCE.2016.7562636",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computational modeling; Computer architecture;
                 Discrete cosine transforms; Electronic mail; Encoding;
                 Gain",
}

@InProceedings{Jacoby:2016:DFP,
  author =       "Andres Jacoby and Daniel Llamocca",
  booktitle =    "{2016 International Conference on ReConFigurable
                 Computing and FPGAs (ReConFig)}",
  title =        "Dual fixed-point {CORDIC} processor: Architecture and
                 {FPGA} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ReConFig.2016.7857166",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Convergence; CORDIC; Detectors;
                 Dual Fixed Point Arithmetic; Dynamic range; Engines;
                 FPGA; Hardware; Testing",
}

@InProceedings{Jung:2016:CMM,
  author =       "Gihoon Jung and Kyungrak Choi and Jongsun Park",
  booktitle =    "{2016 IEEE Asia Pacific Conference on Circuits and
                 Systems (APCCAS)}",
  title =        "A compact multi-mode {CORDIC} with Global-Shifting-Sum
                 ({GSS}) method",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "180--183",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/APCCAS.2016.7803927",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; cross-folded
                 architecture; Decision support systems; Frequency
                 synthesizers; Generators; Global Shifting-Sum (GSS);
                 Memory management; multi-mode; rotation mode; Signal
                 processing algorithms; vectoring mode",
}

@InProceedings{Kermani:2016:EED,
  author =       "Mehran Mozaffari Kermani and Rajkumar Ramadoss and
                 Reza Azarderakhsh",
  booktitle =    "{2016 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Efficient error detection architectures for {CORDIC}
                 through recomputing with encoded operands",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2154--2157",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ISCAS.2016.7539007",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuit faults; Computer architecture; Coordinate
                 rotation digital computer; Field programmable gate
                 arrays; recomputing with encoded operands; Registers;
                 Reliability; reliability; Throughput; Transient
                 analysis",
}

@InProceedings{Kumar:2016:CBV,
  author =       "Vikas Kumar and Kailash Chandra Ray and Preetam
                 Kumar",
  booktitle =    "{2016 International Conference on VLSI Systems,
                 Architectures, Technology and Applications
                 (VLSI-SATA)}",
  title =        "{CORDIC}-based {VLSI} architecture for implementing
                 {CI-OFDM} and its {FPGA} prototype",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/VLSI-SATA.2016.7593037",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; CI-OFDM; Clocks; Computer architecture;
                 CORDIC; Field programmable gate arrays; FPGA
                 Prototyping; Generators; Mathematical model; OFDM; VLSI
                 Architecture",
}

@InProceedings{Kumar:2016:LCC,
  author =       "Vikas Kumar and Kailash Chandra Ray and Preetam
                 Kumar",
  booktitle =    "{2016 IEEE 12th International Colloquium on Signal
                 Processing \& Its Applications (CSPA)}",
  title =        "Low-complexity {CORDIC}-based {VLSI} design and {FPGA}
                 prototype of {CI-OFDMA} system for next-generation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "22--27",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CSPA.2016.7515796",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CI-OFDMA; Communication systems; Computer
                 architecture; Computers; CORDIC; FPGA; Low Complexity;
                 Prototypes; VLSI Architecture",
}

@InProceedings{Kumar:2016:VDF,
  author =       "Lalit Kumar and Deepak Kumar Mittal and Rahul
                 Shrestha",
  booktitle =    "{2016 IEEE Annual India Conference (INDICON)}",
  title =        "{VLSI-design} and {FPGA-implementation} of
                 {GMSK-demodulator} architecture using {CORDIC} engine
                 for low-power application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/INDICON.2016.7838954",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application-Specific Integrated-Circuits (ASIC) and
                 digital architecture; Bit error rate; Computer
                 architecture; CORDIC; Demodulation; Engines; Field
                 programmable gate arrays; FPGA; Hardware;
                 Synchronization; VLSI; Wireless communication",
}

@Article{Lakshmi:2016:LLP,
  author =       "B. Lakshmi and A. S. Dhar",
  title =        "Low latency pipelined {CORDIC}-like rotator
                 architecture",
  journal =      j-INT-J-ELECTRON,
  volume =       "104",
  number =       "1",
  pages =        "64--78",
  month =        jul,
  year =         "2016",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2016.1186232",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@InProceedings{Lavanya:2016:HSF,
  author =       "Lavanya M and Kalaiselvi A",
  booktitle =    "{2016 International Conference on Wireless
                 Communications, Signal Processing and Networking
                 (WiSPNET)}",
  title =        "High speed {FIR} adaptive filter for {RADAR}
                 applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2118--2122",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/WiSPNET.2016.7566516",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; Clutter; Computer architecture;
                 CORDIC incorporated KA Structure; Filtering algorithms;
                 Finite impulse response filters; Performance analysis;
                 Radar; RADAR; Signal processing algorithms",
}

@InProceedings{Li:2016:SCA,
  author =       "Junwei Li and Jiandong Fang and Bajin Li and Yudong
                 Zhao",
  booktitle =    "{2016 Chinese Control and Decision Conference
                 (CCDC)}",
  title =        "Study of {CORDIC} algorithm based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "4338--4343",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CCDC.2016.7531747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Field
                 programmable gate arrays; Hardware; Pipeline
                 technology; Pipelines; Read only memory; Signal
                 processing algorithms; Simulation; Sine-cosine signal",
}

@InProceedings{Long:2016:DND,
  author =       "Xitian Long and Zhe Zheng and Xueping Jiang and
                 Jinghong Guo and Guanghua Wu and Yanyi Meng and Hong
                 Chen and Yuxuan Liu",
  booktitle =    "{2016 IEEE International Conference on Electron
                 Devices and Solid-State Circuits (EDSSC)}",
  title =        "Design of novel digital {GFSK} modulation and
                 demodulation system for short-range wireless
                 communication application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "299--302",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/EDSSC.2016.7785267",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "atan2; Conferences; Decision support systems;
                 demodulator; Electron devices; GFSK; Hafnium;
                 modulator; reconfigurable CORDIC; Solid state circuits;
                 Wireless communication",
}

@InProceedings{Mehta:2016:EMS,
  author =       "Jaina M. Mehta and Pratik Trivedi",
  booktitle =    "{2016 IEEE International Conference on Digital Signal
                 Processing (DSP)}",
  title =        "An enhanced mixed-scaling-rotation {CORDIC} algorithm
                 with weighted amplifying factor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "527--531",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICDSP.2016.7868613",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Circuits and systems;
                 Complexity theory; Computer architecture; Coordinate
                 Rotational Digital Computer (CORDIC) algorithm; Fast
                 Fourier Transformation (FFT); Hardware; Mathematical
                 model; Mixed Scaling Rotation (MSR)-CORDIC; Signal
                 processing algorithms; Signal to Quantization Noise
                 Ratio (SQNR); VLSI",
}

@InProceedings{Meinl:2016:HAM,
  author =       "Frank Meinl and Martin Kunert and Holger Blume",
  booktitle =    "{2016 Conference on Design and Architectures for
                 Signal and Image Processing (DASIP)}",
  title =        "Hardware acceleration of Maximum-Likelihood angle
                 estimation for automotive {MIMO} radars",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "168--175",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/DASIP.2016.7853815",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antenna arrays; Automotive radar; CORDIC; direction of
                 arrival; Direction-of-arrival estimation; driver
                 assistance; FPGA; Hardware; hardware accelerator;
                 Maximum likelihood estimation; maximum-likelihood
                 estimation; Radar; Radar antennas; radar signal
                 processing",
}

@InBook{Muller:2016:CA,
  author =       "Jean-Michel Muller",
  booktitle =    "Elementary Functions",
  title =        "The {CORDIC} Algorithm",
  publisher =    pub-BIRKHAUSER-BOSTON,
  address =      pub-BIRKHAUSER-BOSTON:adr,
  pages =        "165--184",
  year =         "2016",
  DOI =          "https://doi.org/10.1007/978-1-4899-7983-4_9",
  ISBN =         "1-4899-7983-2",
  ISBN-13 =      "978-1-4899-7983-4",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Book{Muller:2016:EFA,
  author =       "Jean-Michel Muller",
  title =        "Elementary Functions: Algorithms and Implementation",
  publisher =    pub-BIRKHAUSER-BOSTON,
  address =      pub-BIRKHAUSER-BOSTON:adr,
  edition =      "Third",
  pages =        "xxv + 283",
  year =         "2016",
  DOI =          "https://doi.org/10.1007/978-1-4899-7983-4",
  ISBN =         "1-4899-7981-6 (print), 1-4899-7983-2 (e-book)",
  ISBN-13 =      "978-1-4899-7981-0 (print), 978-1-4899-7983-4
                 (e-book)",
  LCCN =         "QA331 .M866 2016",
  bibdate =      "Sun Dec 04 15:12:36 2016",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/mathcw.bib;
                 https://www.math.utah.edu/pub/tex/bib/numana2010.bib;
                 z3950.loc.gov:7090/Voyager",
  abstract =     "This textbook presents the concepts and tools
                 necessary to understand, build, and implement
                 algorithms for computing elementary functions (e.g.,
                 logarithms, exponentials, and the trigonometric
                 functions). Both hardware- and software-oriented
                 algorithms are included, along with issues related to
                 accurate floating-point implementation. This third
                 edition has been updated and expanded to incorporate
                 the most recent advances in the field, new elementary
                 function algorithms, and function software. After a
                 preliminary chapter that briefly introduces some
                 fundamental concepts of computer arithmetic, such as
                 floating-point arithmetic and redundant number systems,
                 the text is divided into three main parts. Part I
                 considers the computation of elementary functions using
                 algorithms based on polynomial or rational
                 approximations and using table-based methods; the final
                 chapter in this section deals with basic principles of
                 multiple-precision arithmetic. Part II is devoted to a
                 presentation of shift-and-add algorithms
                 (hardware-oriented algorithms that use additions and
                 shifts only). Issues related to accuracy, including
                 range reduction, preservation of monotonicity, and
                 correct rounding, as well as some examples of
                 implementation are explored in Part III. Numerous
                 examples of command lines and full programs are
                 provided throughout for various software packages,
                 including Maple, Sollya, and Gappa. New to this edition
                 are an in-depth overview of the IEEE-754-2008 standard
                 for floating-point arithmetic; a section on using
                 double- and triple-word numbers; a presentation of new
                 tools for designing accurate function software; and a
                 section on the Toom--Cook family of multiplication
                 algorithms. The techniques presented in this book will
                 be of interest to implementors of elementary function
                 libraries or circuits and programmers of numerical
                 applications. Additionally, graduate and advanced
                 undergraduate students, professionals, and researchers
                 in scientific computing, numerical analysis, software
                 engineering, and computer engineering will find this a
                 useful reference and resource.",
  acknowledgement = ack-nhfb,
  subject =      "Functions; Data processing; Algorithms",
  tableofcontents = "Introduction \\
                 Introduction to Computer Arithmetic \\
                 Part I: Algorithms Based on Polynomial Approximation
                 and/or Table Lookup, Multiple-Precision Evaluation of
                 Functions \\
                 The Classical Theory of Polynomial or Rational
                 Approximations \\
                 Polynomial Approximations with Special Constraints \\
                 Polynomial Evaluation \\
                 Table-Based Methods \\
                 Multiple-Precision Evaluation of Functions \\
                 Part II: Shift-and-Add Algorithms \\
                 Introduction to Shift-and-Add Algorithms \\
                 The CORDIC Algorithm \\
                 Some Other Shift-and-Add Algorithms \\
                 Part III: Range Reduction, Final Rounding, and
                 Exceptions \\
                 Range Reduction \\
                 Final Rounding \\
                 Miscellaneous \\
                 Examples of Implementation \\
                 References \\
                 Index",
}

@Article{Nawandar:2016:RLP,
  author =       "Neha K. Nawandar and Bharat Garg and G. K. Sharma",
  title =        "{RICO}: a low power repetitive iteration {CORDIC} for
                 {DSP} applications in portable devices",
  journal =      j-J-SYST-ARCH,
  volume =       "70",
  pages =        "82--92",
  year =         "2016",
  CODEN =        "JSARFB",
  DOI =          "https://doi.org/10.1016/j.sysarc.2016.04.007",
  ISSN =         "1383-7621 (print), 1873-6165 (electronic)",
  ISSN-L =       "1383-7621",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "High Performance Computing, Communication and Embedded
                 Software/Systems",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1383762116300273",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Systems Architecture",
  journal-URL =  "https://www.sciencedirect.com/journal/journal-of-systems-architecture",
  keywords =     "Approximate design; CORDIC; Discrete Cosine Transform
                 (DCT); Rotation (); Scaling factor (k)",
}

@InProceedings{Nguyen:2016:PPC,
  author =       "Hong-Thu Nguyen and Xuan-Thuan Nguyen and Cong-Kha
                 Pham and Trong-Thuc Hoang and Duc-Hung Le",
  booktitle =    "{2016 International Conference on Electronics,
                 Information, and Communications (ICEIC)}",
  title =        "A parallel pipeline {CORDIC} based on adaptive angle
                 selection",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ELINFOCOM.2016.7563034",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Clocks; Hardware; Registers;
                 Resource management; Table lookup",
}

@Article{Parfieniuk:2016:SIC,
  author =       "Marek Parfieniuk and Sang Yoon Park",
  title =        "Sparse-Iteration {4D} {CORDIC} Algorithms for
                 Multiplying Quaternions",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "65",
  number =       "9",
  pages =        "2859--2871",
  month =        sep,
  year =         "2016",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2015.2506572",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  MRclass =      "68W40 (68M07)",
  MRnumber =     "3539008",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1360.68090",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "68M07,65Y04; Adders; Computer architecture;
                 constant-coefficient multiplier; CORDIC; Field
                 programmable gate arrays; Hardware; hypercomplex
                 number; multiplication; Quaternion; Quaternions; Signal
                 processing algorithms; Sparse matrices",
  ZBmath =       "6716013",
}

@InProceedings{Parfieniuk:2016:VQM,
  author =       "Marek Parfieniuk and Sang Yoon Park",
  booktitle =    "{2016 14th IEEE International New Circuits and Systems
                 Conference (NEWCAS)}",
  title =        "A versatile quaternion multiplier based on
                 sparse-iteration {4D} {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/NEWCAS.2016.7604788",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Hardware; Logic gates; Quaternions; Switches; Table
                 lookup; Transforms; Two dimensional displays",
}

@Article{Petrovsky:2016:CLF,
  author =       "Nick Petrovsky and Andrew Stankevich and Alexander
                 Petrovsky",
  title =        "{CORDIC}-lifting factorization of paraunitary filter
                 banks based on the quaternionic multipliers for
                 lossless image coding",
  journal =      "Multidimensional Syst. Signal Process.",
  volume =       "27",
  number =       "3",
  pages =        "667--695",
  year =         "2016",
  DOI =          "https://doi.org/10.1007/s11045-015-0323-x",
  ISSN =         "0923-6082",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1441.94022",
  acknowledgement = ack-nhfb,
  fjournal =     "Multidimensional Systems and Signal Processing",
  keywords =     "94A08,94A12",
  ZBmath =       "6758814",
}

@InProceedings{Pivezhandi:2016:ADT,
  author =       "Mohammad Pivezhandi and Mohammad Eshghi",
  booktitle =    "{2016 6th International Conference on Computer and
                 Knowledge Engineering (ICCKE)}",
  title =        "{ASIP} Design for Two dimensional {CORDIC} based {DFT}
                 and {DCT} algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "269--273",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICCKE.2016.7802151",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application specific instruction set processor; Cordic
                 based algorithm; Discrete Cosine Transform; Discrete
                 Fourier Transform; Generators; Logic gates; Oils;
                 Productivity; Register Transfer Language; Zinc",
}

@InProceedings{Pramanik:2016:LLH,
  author =       "Sayantan Pramanik and Sayak Chakraborty and Rishav
                 Saha and Rupkatha Basu and Ritam De and Sulagna
                 Chatterjee and Ritam Banerjee",
  booktitle =    "{2016 IEEE 7th Annual Information Technology,
                 Electronics and Mobile Communication Conference
                 (IEMCON)}",
  title =        "Low latency high throughput {CORDIC} based {Fourier}
                 analysis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/IEMCON.2016.7746353",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Computers; CORDIC (Coordinate Rotation DIgital
                 Computer); Fourier Transform; Fourier transforms;
                 Hardware; Hybrid Radix 2 format; Low Latency and High
                 Throughput; Signal processing algorithms; Truth Table;
                 Very large scale integration",
}

@InProceedings{Ranji:2016:AEV,
  author =       "Aarti Ranji and Nashrah Fatima and Paresh Rawat",
  booktitle =    "{2016 International Conference on Emerging
                 Technological Trends (ICETT)}",
  title =        "Area efficient {VLSI} architecture for {DCT} using
                 modified {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICETT.2016.7873776",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Coordinate Rotation Digital Computer; Discrete Cosine
                 Transform; Discrete cosine transforms; Discrete Fourier
                 Transform; Hardware; Program processors; Signal
                 processing algorithms; Very large scale integration",
}

@InProceedings{Ray:2016:CBP,
  author =       "Kailash Chandra Ray and Anindya Sundar Dhar",
  booktitle =    "{2016 IEEE Region 10 Conference (TENCON)}",
  title =        "{CORDIC}-based parallel architecture for one
                 dimensional discrete {Mellin} transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1638--1643",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/TENCON.2016.7848295",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Conferences; Hardware;
                 Mathematical model; Real-time systems; Throughput;
                 Transforms",
}

@InProceedings{Ruifeng:2016:MID,
  author =       "Yang Ruifeng and Guo Chenxia and Zhang Peng",
  booktitle =    "{2016 Sixth International Conference on
                 Instrumentation \& Measurement, Computer, Communication
                 and Control (IMCCC)}",
  title =        "The Method on Improving Digital Decoding Accuracy of
                 the Resolver",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "745--751",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/IMCCC.2016.242",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; angle decoding;
                 Computers; CORDIC algorithm; Decoding; decoding
                 accuracy; Frequency modulation; Iterative decoding;
                 Rotors; Signal resolution; the resolver",
}

@Misc{Saad:2016:GPA,
  author =       "Imen {Ben Saad} and Younes Lahbib and Yassine
                 Hacha{\"\i}chi and Sonia Mami and Abdelkader Mami",
  title =        "Generic-Precision algorithm for {DCT-Cordic}
                 architectures",
  year =         "2016",
  DOI =          "https://doi.org/10.48550/arXiv.1606.02424",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1606.02424",
  abstract =     "In this paper we propose a generic algorithm to
                 calculate the rotation parameters of CORDIC angles
                 required for the Discrete Cosine Transform algorithm
                 (DCT). This leads us to increase the precision of
                 calculation meeting any \ldots{} contribution is to use
                 this decomposition in CORDIC based DCT which is
                 appropriate for domains which require high quality and
                 top precision. We then propose a hardware
                 implementation of the novel transformation, and as
                 expected, a substantial improvement in PSNR quality is
                 found.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "1606.02424",
  primaryclass = "cs.MM",
}

@InProceedings{Satpute:2016:CBN,
  author =       "Vishal R. Satpute and Neha K. Nawandar",
  booktitle =    "{2016 11th International Conference on Industrial and
                 Information Systems (ICIIS)}",
  title =        "{CORDIC} based novel energy-efficient approximate
                 {DCT} architecture for error-resilient applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "655--660",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICIINFS.2016.8263020",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "approximate architecture; Batteries; Computational
                 efficiency; Computer architecture; COordinate Rotation
                 DIgital Computer (CORDIC); Discrete Cosine Transform
                 (DCT); Discrete cosine transforms; Energy efficiency;
                 error-resilient applications; Mathematical model;
                 Repetitive Iteration CORDIC (RICO); Trajectory",
}

@Misc{Simmonds:2016:CBA,
  author =       "Nia Simmonds and Joshua Mack and Sam Bellestri and
                 Daniel Llamocca",
  title =        "{CORDIC}-based Architecture for Powering Computation
                 in Fixed-Point Arithmetic",
  year =         "2016",
  DOI =          "https://doi.org/10.48550/arXiv.1605.03229",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1605.03229",
  abstract =     "We present a fixed point architecture (source VHDL
                 code is provided) for powering computation. The fully
                 customized architecture, based on the expanded
                 hyperbolic CORDIC algorithm, allows for design space
                 exploration to establish trade-offs among design
                 parameters (numerical format, number of iterations),
                 execution time, resource usage and accuracy. We also
                 generate Pareto-optimal realizations in the
                 resource-accuracy space: this approach can produce
                 optimal hardware realizations that simultaneously
                 satisfy resource and accuracy requirements.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "1605.03229",
  primaryclass = "cs.AR",
}

@InProceedings{Smekalov:2016:CRF,
  author =       "Anton I. Smekalov and Victor I. Djigan",
  booktitle =    "{2016 IEEE East-West Design \& Test Symposium
                 (EWDTS)}",
  title =        "{CORDIC} rotator for frequency translation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/EWDTS.2016.7807701",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Field programmable gate
                 arrays; Floors; Frequency conversion; Frequency-domain
                 analysis; Logic gates",
}

@InProceedings{Supe:2016:SCA,
  author =       "Tushar Supe and David Anderson",
  booktitle =    "{2016 International Symposium on Intelligent Signal
                 Processing and Communication Systems (ISPACS)}",
  title =        "{Super-CORDIC}: an approximation based parallel and
                 redundant {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ISPACS.2016.7824681",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Computers; Coordinate rotation digital
                 computer (CORDIC); Delays; Estimation; Generalized
                 Architecture; Low Latency; Parallel Computation;
                 Redundant Number Representation; Table lookup;
                 Trigonometric Functions",
}

@InProceedings{Syed:2016:HST,
  author =       "Azhar Syed and R. Mary Lourde",
  booktitle =    "{2016 IEEE International Symposium on Nanoelectronic
                 and Information Systems (iNIS)}",
  title =        "Hardware Security Threats to {DSP} Applications in an
                 {IoT} Network",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "62--66",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/iNIS.2016.025",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer crime; CORDIC Processor; Digital signal
                 processing; DSP applications in IoT; Hardware; Hardware
                 Security; Integrated circuits; Internet of Things; IoT
                 Networks; Trojan horses",
}

@InProceedings{Tan:2016:SBA,
  author =       "Wen Tan and Kai Liu and Jia Liao",
  booktitle =    "{2016 IEEE International Conference on Ubiquitous
                 Wireless Broadband (ICUWB)}",
  title =        "Study of S-band antenna tracking algorithm based on
                 {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICUWB.2016.7790477",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; antenna tracking; beam
                 steering-angle; CORDIC algorithm; Field programmable
                 gate arrays; Hardware; Phased arrays; Signal processing
                 algorithms; Target tracking",
}

@InProceedings{Tang:2016:CBF,
  author =       "Aimei Tang and Li Yu and Fangjian Han and Zhiqiang
                 Zhang",
  booktitle =    "{2016 IEEE 12th International Colloquium on Signal
                 Processing \& Its Applications (CSPA)}",
  title =        "{CORDIC}-based {FFT} real-time processing design and
                 {FPGA} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "233--236",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CSPA.2016.7515837",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC algorithm; FFT;
                 Field programmable gate arrays; FPGA; Hardware; MATLAB;
                 Random access memory; Real-time systems; Signal
                 processing algorithms",
}

@InProceedings{Tiwari:2016:IFH,
  author =       "Bhawna Tiwari and Nidhi Goel",
  booktitle =    "{2016 Second International Conference on Computational
                 Intelligence \& Communication Technology (CICT)}",
  title =        "Implementation of a Fast Hybrid {CORDIC}
                 Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "702--706",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CICT.2016.145",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Design Vision; FPGA; Hardware design
                 languages; hybrid; Hybrid power systems; Parallel
                 architectures; Partitioning algorithms; Switches; Table
                 lookup; Verilog; Xilinx ISE",
}

@Article{Torun:2016:FGC,
  author =       "Mustafa U. Torun and Onur Yilmaz and Ali N. Akansu",
  title =        "{FPGA}, {GPU}, and {CPU} implementations of {Jacobi}
                 algorithm for eigenanalysis",
  journal =      j-J-PAR-DIST-COMP,
  volume =       "96",
  pages =        "172--180",
  year =         "2016",
  CODEN =        "JPDCER",
  DOI =          "https://doi.org/10.1016/j.jpdc.2016.05.014",
  ISSN =         "0743-7315 (print), 1096-0848 (electronic)",
  ISSN-L =       "0743-7315",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0743731516300508",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Parallel and Distributed Computing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/07437315",
  keywords =     "Chess tournament; CORDIC; CPU; Eigenanalysis; FPGA;
                 GPU; Jacobi algorithm; Karhunen--Lo{\`e}ve transform;
                 Memory coalescing; Principal component analysis",
}

@Article{Vyas:2016:CBA,
  author =       "Pranjal Vyas and Leena Vachhani and K. Sridharan and
                 Vikramkumar Pudi",
  title =        "{CORDIC}-based Azimuth Calculation and Obstacle
                 Tracing via Optimal Sensor Placement on a Mobile
                 Robot",
  journal =      "IEEE\slash ASME Transactions on Mechatronics",
  volume =       "21",
  number =       "5",
  pages =        "2317--2329",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/TMECH.2015.2502622",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustics; Azimuth; coordinate rotation digital
                 computer (CORDIC); dynamic obstacle; field programmable
                 gate array (FPGA); Heuristic algorithms; interval
                 arithmetic; Iterative methods; optimal placement; Robot
                 kinematics; Robot sensing systems; robotics; ultrasonic
                 sensor",
}

@InProceedings{Wang:2016:CUR,
  author =       "Siyang Wang and Jia Yu and Kui-Ting Chen and Takaaki
                 Baba",
  booktitle =    "{2016 IEEE International Conference on Internet of
                 Things (iThings) and IEEE Green Computing and
                 Communications (GreenCom) and IEEE Cyber, Physical and
                 Social Computing (CPSCom) and IEEE Smart Data
                 (SmartData)}",
  title =        "A {CORDIC} with Unified Rotation Strategy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "744--749",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData.2016.157",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; coordinate rotation digital
                 computer; Digital computers; Greedy algorithms;
                 Hardware; Iterative algorithms; Production; Real-time
                 systems; rotation strategy; Signal processing
                 algorithms; Simulation; Social computing; unified
                 CORDIC",
}

@InProceedings{Yan:2016:IDB,
  author =       "Jihong Yan and Yuqing Ma and Zishu He",
  booktitle =    "{2016 2nd IEEE International Conference on Computer
                 and Communications (ICCC)}",
  title =        "An implementation design of broadband and high
                 resolution spectrum analysis based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2995--2999",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/CompComm.2016.7925246",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; cordic algorithm;
                 digital down conversion(DDC); Face; Field programmable
                 gate arrays; FPGA; MATLAB; Optimization; Receivers;
                 Satellites; spectrum analysis",
}

@Article{Zhang:2016:CBE,
  author =       "Jianfeng Zhang and Paul Chow and Hengzhu Liu",
  title =        "{CORDIC}-Based Enhanced Systolic Array Architecture
                 for {$ Q R $} Decomposition",
  journal =      j-TRETS,
  volume =       "9",
  number =       "2",
  pages =        "9:1--9:??",
  month =        feb,
  year =         "2016",
  CODEN =        "????",
  DOI =          "https://doi.org/10.1145/2827700",
  ISSN =         "1936-7406 (print), 1936-7414 (electronic)",
  ISSN-L =       "1936-7406",
  bibdate =      "Tue Dec 22 16:19:57 MST 2015",
  bibsource =    "http://portal.acm.org/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/trets.bib",
  abstract =     "Multiple input multiple output (MIMO) with orthogonal
                 frequency division multiplexing (OFDM) systems
                 typically use orthogonal-triangular (QR) decomposition.
                 In this article, we present an enhanced systolic array
                 architecture to realize QR decomposition based on the
                 Givens rotation (GR) method for a 4 $ \times $ 4 real
                 matrix. The coordinate rotation digital computer
                 (CORDIC) algorithm is adopted and modified to speed up
                 and simplify the process of GR. To verify the function
                 and evaluate the performance, the proposed
                 architectures are validated on a Virtex 5 FPGA
                 development platform. Compared to a commercial
                 implementation of vectoring CORDIC, the enhanced
                 vectoring CORDIC is presented that uses 37.7\% less
                 hardware resources, dissipates 71.6\% less power, and
                 provides a 1.8 times speedup while maintaining the same
                 computation accuracy. The enhanced QR systolic array
                 architecture based on the enhanced vectoring CORDIC
                 saves 24.5\% in power dissipation, provides a factor of
                 1.5-fold improvement in throughput, and the hardware
                 efficiency is improved 1.45-fold with no accuracy
                 penalty when compared to our previously proposed QR
                 systolic array architecture.",
  acknowledgement = ack-nhfb,
  ajournal =     "ACM Trans. Reconfigurable Technol. Syst.",
  articleno =    "9",
  fjournal =     "ACM Transactions on Reconfigurable Technology and
                 Systems (TRETS)",
  journal-URL =  "https://dl.acm.org/loi/trets",
}

@InProceedings{Zhu:2016:CBI,
  author =       "Huijie Zhu and Yizhou Ge",
  booktitle =    "{2016 IEEE 11th Conference on Industrial Electronics
                 and Applications (ICIEA)}",
  title =        "{CORDIC}-based implementation architectures for the
                 second-harmonic excitation of a micro-gyroscope",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2107--2110",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICIEA.2016.7603937",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Crosstalk; electrical crosstalk; Electrodes;
                 Electrostatics; Force; Frequency conversion;
                 micro-gyroscope; resonance characteristics; Resonant
                 frequency; Vibrations",
}

@InProceedings{Zhu:2016:MCA,
  author =       "Huijie Zhu and Yizhou Ge and Bin Jiang",
  booktitle =    "{2016 IEEE 13th International Conference on Signal
                 Processing (ICSP)}",
  title =        "Modified {CORDIC} algorithm for computation of
                 arctangent with variable iterations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "261--264",
  year =         "2016",
  DOI =          "https://doi.org/10.1109/ICSP.2016.7877837",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Arctangent; Computers; CORDIC; Discrete
                 cosine transforms; Field programmable gate arrays;
                 Hardware; Signal processing algorithms; Vectoring
                 mode",
}

@Article{Adapa:2017:CRB,
  author =       "Bhagyaraja Adapa and Dwaipayan Biswas and Swati
                 Bhardwaj and Shashank Raghuraman and Amit Acharyya and
                 Koushik Maharatna",
  title =        "Coordinate Rotation-Based Low Complexity {$K$}-Means
                 Clustering Architecture",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "25",
  number =       "4",
  pages =        "1568--1572",
  year =         "2017",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2016.2633543",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Algorithm design and analysis; Clustering algorithms;
                 Computer architecture; Coordinate Rotation Digital
                 Computer (CORDIC); Euclidean distance; hardware design;
                 K-means; low complex architecture; Multiplexing; signal
                 processing; Signal processing algorithms; Transistors",
}

@InProceedings{Anas:2017:ICA,
  author =       "M. M. Anas and R. Sikha Padiyar and Alisiya Sara
                 Boban",
  booktitle =    "{2017 International Conference on Energy,
                 Communication, Data Analytics and Soft Computing
                 (ICECDS)}",
  title =        "Implementation of {CORDIC} algorithm and design of
                 high speed {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1278--1281",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICECDS.2017.8389648",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; cosine; Data analysis; Field
                 programmable gate arrays; Hardware; Logic gates;
                 optimised XOR gate; Signal processing algorithms; sine;
                 Transforms",
}

@InProceedings{Arasu:2017:VIL,
  author =       "S. P. Valan Arasu and S. Baulkani and S. P. Brightlin
                 Rhoda",
  booktitle =    "{2017 International Conference on Innovations in
                 Information, Embedded and Communication Systems
                 (ICIIECS)}",
  title =        "{VLSI} implementation of low power micro {CORDIC}
                 processor for real time antenna array applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--9",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICIIECS.2017.8276088",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Mathematical model; Micro
                 cordic processor ( -cordic); Microstrip patch phased
                 array antenna; Parallel micro cordic processor; Patch
                 antennas; Permittivity; Phased array antenna; Phased
                 arrays",
}

@Article{Bhairannawar:2017:IFB,
  author =       "Satish S. Bhairannawar and Sayantam Sarkar and K. B.
                 Raja and K. R. Venugopal",
  title =        "Implementation of Fingerprint Based Biometric System
                 Using Optimized 5/3 {DWT} Architecture and Modified
                 {CORDIC} Based {FFT}",
  journal =      j-CSSP,
  volume =       "37",
  number =       "1",
  pages =        "342--366",
  month =        may,
  year =         "2017",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-017-0555-0",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InProceedings{Bhardwaj:2017:CRV,
  author =       "Swati Bhardwaj and Shashank Raghuraman and Amit
                 Acharyya",
  booktitle =    "{2017 European Conference on Circuit Theory and Design
                 (ECCTD)}",
  title =        "Coordinate rotation and vector cross product based
                 hardware accelerator for {nD} {FastICA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ECCTD.2017.8093246",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Blind Source Separation; Computer architecture;
                 Convergence; CORDIC; Electroencephalography; FastICA;
                 Hardware; Hardware design languages; MATLAB; Two
                 dimensional displays; Vector Cross Product",
}

@InProceedings{Bhardwaj:2017:LCH,
  author =       "Swati Bhardwaj and Shashank Raghuraman and Amit
                 Acharyya",
  booktitle =    "{2017 IEEE International Workshop on Signal Processing
                 Systems (SiPS)}",
  title =        "Low complexity hardware accelerator for {nD} {FastICA}
                 based on coordinate rotation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/SiPS.2017.8110000",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Blind Source Separation; Complexity theory;
                 Convergence; CORDIC; FastICA; Hardware; Hardware design
                 languages; Sensors; Speech; Two dimensional displays",
}

@InProceedings{Bisina:2017:OEP,
  author =       "K. V. Bisina and Maleeha Abdul Azeez",
  booktitle =    "{2017 International Conference on Intelligent
                 Computing and Control Systems (ICICCS)}",
  title =        "Optimized estimation of power spectral density",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "871--875",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICCONS.2017.8250588",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC; Discrete
                 Fourier transforms; Estimation; Fast Fourier
                 transforms; Finite impulse response filters; modified
                 periodogram; power spectral density; Spectral analysis;
                 spectrum analysis",
}

@Article{Biswas:2017:LCF,
  author =       "Dwaipayan Biswas and Koushik Maharatna and Goran Panic
                 and Evangelos B. Mazomenos and Josy Achner and Jasmin
                 Klemke and Michael J{\"o}bges and Steffen Ortmann",
  title =        "Low-Complexity Framework for Movement Classification
                 Using Body-Worn Sensors",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "25",
  number =       "4",
  pages =        "1537--1548",
  year =         "2017",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2016.2641046",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Activity recognition (AR); classification; clustering;
                 Computer architecture; coordinate rotation digital
                 computer (CORDIC); Feature extraction;
                 field-programmable gate array (FPGA); low complexity;
                 Real-time systems; Sensor systems; Testing; Training
                 data",
}

@InProceedings{Borole:2017:MRC,
  author =       "Yogini Dilip Borole and C. G. Dethe",
  booktitle =    "{2017 International Conference on Energy,
                 Communication, Data Analytics and Soft Computing
                 (ICECDS)}",
  title =        "Mixed radix {CORDIC} {FFT} algorithm for {OFDM} {WPAN}
                 applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "2975--2979",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICECDS.2017.8390001",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC algorithm; Data
                 analysis; Discrete Fourier transforms; Fast Fourier
                 transforms; FFT; Force; FPGA; IFFT; Information
                 technology; OFDM; Radix 2 5",
}

@Article{Cao:2017:MDV,
  author =       "Wenhui Cao and Anding Zhu",
  title =        "A Modified Decomposed Vector Rotation-Based Behavioral
                 Model With Efficient Hardware Implementation for
                 Digital Predistortion of {RF} Power Amplifiers",
  journal =      j-IEEE-TRANS-MICROWAVE-THEORY-TECH,
  volume =       "65",
  number =       "7",
  pages =        "2443--2452",
  year =         "2017",
  CODEN =        "IETMAB",
  DOI =          "https://doi.org/10.1109/TMTT.2016.2640318",
  ISSN =         "0018-9480 (print), 1557-9670 (electronic)",
  ISSN-L =       "0018-9480",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE transactions on microwave theory and techniques",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=22",
  keywords =     "Behavioral model; Computational complexity;
                 Computational modeling; coordinate rotation digital
                 computer (CORDIC); digital predistortion (DPD);
                 Hardware; Integrated circuit modeling; Mathematical
                 model; model extraction; power amplifier (PA); Radio
                 frequency; radio frequency (RF)",
}

@Article{Chen:2017:ADF,
  author =       "Linbin Chen and Jie Han and Weiqiang Liu and Fabrizio
                 Lombardi",
  title =        "Algorithm and Design of a Fully Parallel Approximate
                 Coordinate Rotation Digital Computer ({CORDIC})",
  journal =      "IEEE Transactions on Multi-Scale Computing Systems",
  volume =       "3",
  number =       "3",
  pages =        "139--151",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/TMSCS.2017.2696003",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation
                 algorithms; Computer architecture; Computers; CORDIC;
                 Discrete cosine transforms; Error analysis; error
                 distance; Hardware; Inexact computing; power
                 dissipation",
}

@InProceedings{Franceschi:2017:AFI,
  author =       "Marta Franceschi and Vincent Camus and Ali Ibrahim and
                 Christian Enz and Maurizio Valle",
  booktitle =    "{2017 New Generation of CAS (NGCAS)}",
  title =        "Approximate {FPGA} Implementation of {CORDIC} for
                 Tactile Data Processing Using Speculative Adders",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "41--44",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/NGCAS.2017.40",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Approximate computing; Computer architecture;
                 CORDIC; Data processing; Field programmable gate
                 arrays; FPGA; Hardware; inexact speculative adder;
                 Prosthetics; Real-time systems; tactile data
                 processing",
}

@InProceedings{Hasnat:2017:NUC,
  author =       "Abul Hasnat and Atanu Dey and Md. Azizul Hoque and
                 Santanu Halder and Debotosh Bhattacharjee",
  booktitle =    "{2017 Devices for Integrated Circuit (DevIC)}",
  title =        "A novel unit circle approach for computation of sine
                 function",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "570--573",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/DEVIC.2017.8074015",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computational modeling; Computer architecture;
                 CORDIC; Field programmable gate arrays; FPGA; Signal
                 processing algorithms; Sine; Systems architecture; Unit
                 Circle",
}

@InProceedings{Helvacioglu:2017:RCB,
  author =       "G{\"u}lfem Helvacio{\u{g}}lu and Ali Bugra Korucu and
                 Ya{\'u}ar Kemal Alp and Co{\c{s}}ku Kasnako{\u{g}}lu",
  booktitle =    "{2017 25th Signal Processing and Communications
                 Applications Conference (SIU)}",
  title =        "Reduced {CORDIC} based logarithmic convertor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/SIU.2017.7960483",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computers; CORDIC; Field programmable gate arrays;
                 FPGA; Hardware; logarithm; Radar; Resource management;
                 signal processing; Table lookup",
}

@InProceedings{Inguva:2017:ECA,
  author =       "Sharath Chandra Inguva and J. B. Seventline",
  booktitle =    "{2017 International Conference on Intelligent
                 Sustainable Systems (ICISS)}",
  title =        "Enhanced {CORDIC} algorithm using an area efficient
                 carry select adder",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "410--415",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ISS1.2017.8389441",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Conferences; CORDIC
                 algorithm; CSLA; Delays; friend angle; Hardware;
                 Multiplexing; rotation; Signal processing algorithms",
}

@InProceedings{Jacoby:2017:DDF,
  author =       "Andres Jacoby and Daniel Llamocca",
  booktitle =    "{2017 IEEE International Parallel and Distributed
                 Processing Symposium Workshops (IPDPSW)}",
  title =        "Dynamic Dual Fixed-Point {CORDIC} Implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "235--240",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/IPDPSW.2017.49",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; Dynamic Dual Fixed
                 Point Arithmetic; Dynamic range; Fixed-point
                 arithmetic; Hardware; Heuristic algorithms; Partial
                 Reconfiguration; Programmable SoCs; Software;
                 Switches",
}

@InProceedings{Jain:2017:POD,
  author =       "Mansi Jain and Palbha Kesharwani and Ashwini Kumar
                 Malviya and Kavita Khare and Pratyush Shandilya and
                 Sushmita Haldar and Himanshu Rai and Supriya Aggarwal",
  booktitle =    "{2017 International Conference on Energy,
                 Communication, Data Analytics and Soft Computing
                 (ICECDS)}",
  title =        "Performance optimized digital {QPSK} modulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "68--71",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICECDS.2017.8389540",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; cosine; Data analysis; Frequency modulation;
                 Phase shift keying; Power demand; Quadrature Phase
                 Shift Keying; Random access memory; sine",
}

@InProceedings{Jiang:2017:KCM,
  author =       "Ziyang Jiang and Yu Dai and Jianxun Zhang and Su He",
  booktitle =    "{2017 IEEE International Conference on Robotics and
                 Biomimetics (ROBIO)}",
  title =        "Kinematics calculation of minimally invasive surgical
                 robot based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1726--1730",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ROBIO.2017.8324667",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Conferences; CORDIC algorithm; Educational robots;
                 Field programmable gate arrays; FPGA; Kinematics;
                 Kinematics Model; Manipulators; Master-slave
                 Heterogeneous Robot; Surgery",
}

@InProceedings{Kasiviswanathan:2017:EHI,
  author =       "N. Kasiviswanathan and K. Srivatsan",
  booktitle =    "{2017 International Conference on Nextgen Electronic
                 Technologies: Silicon to Software (ICNETS2)}",
  title =        "An efficient hardware implementation of {Gaussian}
                 random number generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "327--331",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICNETS2.2017.8067955",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Box Muller (BM)
                 algorithm; CORDIC algorithm; Correlation; Delays; Field
                 programmable gate arrays; Generators; Hardware;
                 Mathematical model; Random numbers; Skip-Ahead Linear
                 Feedback Shift Register (SA-LFSR)",
}

@Article{Kulshreshtha:2017:CBH,
  author =       "Tanmai Kulshreshtha and Anindya S. Dhar",
  title =        "{CORDIC}-based {Hann} windowed sliding {DFT}
                 architecture for real-time spectrum analysis with
                 bounded error-accumulation",
  journal =      "IET Circuits, Devices \& Systems",
  volume =       "11",
  number =       "5",
  pages =        "487--495",
  year =         "2017",
  DOI =          "https://doi.org/10.1049/iet-cds.2016.0375",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/iet-cds.2016.0375",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/iet-cds.2016.0375",
  keywords =     "discrete Fourier transforms, spectral analysis,
                 digital arithmetic, signal processing, field
                 programmable gate arrays, application specific
                 integrated circuits, CORDIC, Hann windowed sliding DFT
                 architecture, real-time spectrum analysis, bounded
                 error-accumulation, coordinate rotation digital
                 computer, sliding discrete Fourier transform, leakage
                 effect, DFT spectrum, finite word-length, high order
                 generalised cosine windows, Blackman Harris cosine
                 window, flat-top cosine window, Virtex-6 FPGA, ASIC
                 post-layout",
}

@InProceedings{Langhammer:2017:FPT,
  author =       "Martin Langhammer and Bogdan Pasca",
  title =        "Floating Point Tangent Implementation for {FPGAs}",
  crossref =     "Burgess:2017:ISC",
  pages =        "64--65",
  month =        jul,
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ARITH.2017.25",
  ISSN =         "1063-6889",
  bibdate =      "Fri Nov 17 09:10:14 2017",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "This paper presents an implementation of the
                 floating-point (FP) tangent function, optimized for an
                 FPGA containing hard floating point (HFP) DSP Blocks.
                 This function inputs values in the interval [- /2, /2],
                 uses the IEEE-754 single-precision (SP) format, and has
                 an accuracy conforming to OpenCL requirements. The
                 presented architecture is based on a combination of
                 mathematical identities and properties of the tangent
                 function in FP. The resultant design outperforms
                 generic polynomial approximation methods targeting the
                 same resource utilization spectrum, and provides better
                 resource trade-offs than classical CORDIC-based
                 implementations. The presented work is widely available
                 as part of the Intel DSP Builder Advanced Blockset.",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation methods; classical CORDIC-based
                 implementations; Digital arithmetic; Digital signal
                 processing; digital signal processing chips; field
                 programmable gate arrays; Field programmable gate
                 arrays; fixed point arithmetic; floating point
                 arithmetic; floating point tangent function; FPGAs;
                 generic polynomial approximation methods; hard floating
                 point DSP blocks; HFP DSP; IEEE-754 single-precision
                 format; Intel DSP Builder Advanced Blockset; OpenCL;
                 reconfigurable architectures; Resource management;
                 resource utilization spectrum; Table lookup",
}

@InProceedings{Li:2017:FIN,
  author =       "ZhaoFang Li and Yu-Jung Huang and Wei-Cheng Lin",
  booktitle =    "{2017 International Conference on Electron Devices and
                 Solid-State Circuits (EDSSC)}",
  title =        "{FPGA} implementation of neuron block for artificial
                 neural network",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/EDSSC.2017.8126431",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Artificial neural networks;
                 Biological neural networks; CORDIC; Field programmable
                 gate arrays; FPGA; Machine learning; MATLAB; Neurons;
                 Sigmoid activation function",
}

@InProceedings{Li:2017:URF,
  author =       "Bingyi Li and Linlin Fang and Yizhuang Xie and He Chen
                 and Liang Chen",
  booktitle =    "{2017 International Conference on Field Programmable
                 Technology (ICFPT)}",
  title =        "A unified reconfigurable floating-point arithmetic
                 architecture based on {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "301--302",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/FPT.2017.8280166",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Field programmable gate arrays; floating-point;
                 FPGA; Hardware; IP networks; reconfigurable; Registers;
                 Signal processing algorithms",
}

@Article{Lin:2017:DRT,
  author =       "Jiang Lin and L{\"u} Qing and Xie Xiaoyan and Shan Rui
                 and Deng Junyong",
  title =        "Design of a reconfigurable transcendental function
                 generator",
  journal =      "The Journal of China Universities of Posts and
                 Telecommunications",
  volume =       "24",
  number =       "1",
  pages =        "96--102",
  year =         "2017",
  DOI =          "https://doi.org/10.1016/S1005-8885(17)60192-4",
  ISSN =         "1005-8885",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1005888517601924",
  acknowledgement = ack-nhfb,
  keywords =     "array processor; CORDIC; reconfigurable computing;
                 reconfigurable transcendental function generator",
}

@InProceedings{Littlewood:2017:MDB,
  author =       "Peter Littlewood and Krishna Murthy Kattiyan
                 Ramamoorthy and Shahnam Mirzaei",
  booktitle =    "{2017 IEEE 13th International Colloquium on Signal
                 Processing \& its Applications (CSPA)}",
  title =        "Modeling of digital baseband interference canceler
                 using {Hilbert} and {Fourier Transforms}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "123--128",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/CSPA.2017.8064936",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Baseband; CORDIC; Digital Signal
                 Processing; Fast Fourier Transformation; Fast Fourier
                 transforms; Finite impulse response filters; Hilbert
                 Transformation; Interference; Interference Canceler;
                 Receivers",
}

@Book{MacClellan:2017:DF,
  author =       "James H. MacClellan and Ronald W. Schafer and Mark A.
                 Yoder",
  title =        "{DSP} First",
  publisher =    "Pearson",
  address =      "Harlow, US",
  edition =      "Second",
  pages =        "582",
  year =         "2017",
  ISBN =         "1-292-11386-3",
  ISBN-13 =      "978-1-292-11386-9",
  LCCN =         "TK5102.9 .M333 2017",
  bibdate =      "Wed Oct 29 13:10:54 MDT 2025",
  bibsource =    "fsz3950.oclc.org:210/WorldCat;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  abstract =     "DSP First and its accompanying digital assets are the
                 result of more than 20 years of work that originated
                 from, and was guided by, the premise that signal
                 processing is the best starting point for the study of
                 electrical and computer engineering. The ``DSP First''
                 approach introduces the use of mathematics as the
                 language for thinking about engineering problems, lays
                 the groundwork for subsequent courses, and gives
                 students hands-on experiences with MATLAB. The Second
                 Edition features three new chapters on the Fourier
                 Series, Discrete-Time Fourier Transform, and the The
                 Discrete Fourier Transform as well as updated labs,
                 visual demos, an update to the existing chapters, and
                 hundreds of new homework problems and solutions.",
  acknowledgement = ack-nhfb,
  subject =      "Multimedia; Digitale Signalverarbeitung",
  tableofcontents = "Introduction \\
                 Sinusoids \\
                 Spectrum representation \\
                 Sampling and aliasing \\
                 FIR filters \\
                 Frequency response of FIR filters \\
                 Discrete-time Fourier transform \\
                 Discrete Fourier transform \\
                 z-Transforms \\
                 IIR filters \\
                 Complex numbers \\
                 Programming in MATLAB \\
                 Fourier series \\
                 Laboratory projects",
}

@Article{Mami:2017:ECD,
  author =       "Sonia Mami and Imen {Ben Saad} and Younes Lahbib and
                 Yassine Hacha{\"\i}chi",
  title =        "Enhanced Configurable {DCT} {CORDIC} {Loeffler}
                 Architectures for Optimal Power-{PSNR} Trade-Off",
  journal =      "Journal of Signal Processing Systems",
  volume =       "90",
  number =       "3",
  pages =        "371--393",
  month =        apr,
  year =         "2017",
  DOI =          "https://doi.org/10.1007/s11265-017-1245-7",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@InBook{Meher:2017:CC,
  author =       "Pramod Kumar Meher and Thanos Stouraitis",
  booktitle =    "{Arithmetic Circuits for DSP Applications}",
  title =        "{CORDIC} Circuits",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "149--185",
  year =         "2017",
  DOI =          "https://doi.org/10.1002/9781119206804.ch5",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Complexity theory;
                 Computer architecture; Hardware; Matrix decomposition;
                 Signal processing algorithms; Transmission line matrix
                 methods",
}

@Article{Mopuri:2017:LCM,
  author =       "Suresh Mopuri and Amit Acharyya",
  title =        "Low-Complexity Methodology for Complex Square-Root
                 Computation",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "25",
  number =       "11",
  pages =        "3255--3259",
  year =         "2017",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2017.2740343",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Complex square root; Complexity theory; Computer
                 architecture; coordinate rotation digital computer
                 (CORDIC); Field programmable gate arrays; Hardware;
                 Logic gates; square root; Transistors; Very large scale
                 integration",
}

@InProceedings{Nirmala:2017:DCC,
  author =       "Y. N. Nirmala and K. C. Shilpa and S. B. Umesh and
                 Hareesh Kumar",
  booktitle =    "{2017 International Conference on Computing
                 Methodologies and Communication (ICCMC)}",
  title =        "Design of {CORDIC} coprocessor for {SPARC V8}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "200--203",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICCMC.2017.8282674",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Clocks; coprocessor;
                 CORDIC; Field programmable gate arrays; Hardware;
                 iterative; Registers; Signal processing algorithms;
                 Table lookup",
}

@InBook{Niu:2017:MMC,
  author =       "Lifan Niu and Xiaoling Jia and Jun Wu and Zhifeng
                 Zhang",
  booktitle =    "Communications and Networking",
  title =        "A Multi-mode Coordinate Rotation Digital Computer
                 {(CORDIC)}",
  publisher =    "Springer International Publishing",
  pages =        "345--354",
  month =        oct,
  year =         "2017",
  DOI =          "https://doi.org/10.1007/978-3-319-66628-0_33",
  ISBN =         "3-319-66628-2",
  ISBN-13 =      "978-3-319-66628-0",
  ISSN =         "1867-822X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Poczekajlo:2017:HIP,
  author =       "Pawe Poczekaj{\l}o and Krzysztof Wawryn",
  booktitle =    "{2017 MIXDES --- 24th International Conference "Mixed
                 Design of Integrated Circuits and Systems}",
  title =        "Hardware implementation of {3D} pipelined {Laplace}
                 filter based on rotation structures",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "276--280",
  year =         "2017",
  DOI =          "https://doi.org/10.23919/MIXDES.2017.8005215",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "3D; Complexity theory; CORDIC algorithm; FPGA;
                 Hardware; Integrated circuits; Laplace filter;
                 pipelined rotation structures; Quantization (signal);
                 Sensitivity",
}

@InProceedings{Rai:2017:PCA,
  author =       "Shalini Rai and C. K. Dwivedi and Rajeev Srivastava",
  booktitle =    "{2017 3rd International Conference on Computational
                 Intelligence \& Communication Technology (CICT)}",
  title =        "Pipelined {CORDIC} architecture and its implementation
                 on {Simulink}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--10",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/CIACT.2017.7977355",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Communications
                 technology; Computational intelligence; Computer
                 architecture; Conferences; CORDIC algorithms; Hardware;
                 ninelined CORDIC architecture; Signal processing
                 algorithms; trigonometric functions",
}

@Article{Ramadoss:2017:RHA,
  author =       "Rajkumar Ramadoss and Mehran Mozaffari Kermani and
                 Reza Azarderakhsh",
  title =        "Reliable Hardware Architectures of the {CORDIC}
                 Algorithm With a Fixed Angle of Rotations",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "64",
  number =       "8",
  pages =        "972--976",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/TCSII.2016.2624508",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Adders; Circuit faults; Computer architecture;
                 Coordinate rotation digital computer (CORDIC); fault
                 detection; Hardware; Registers; reliability; Signal
                 processing algorithms",
}

@InProceedings{Rudagi:2017:CAR,
  author =       "Jayashri Rudagi and Shaila Subbaraman",
  booktitle =    "{2017 International Conference on Inventive Computing
                 and Informatics (ICICI)}",
  title =        "Comparative analysis of radix-2, radix-4, radix-8
                 {CORDIC} processors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "378--382",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICICI.2017.8365377",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; ADP; Computer architecture; Conferences;
                 CORDIC; Delays; EDP; Informatics; PDP; Power; Program
                 processors; Radix-2; Radix-4; Radix-8; Signal
                 processing algorithms",
}

@InProceedings{Saha:2017:AEA,
  author =       "Anurup Saha and K. Gaurav Kumar and Archisman Ghosh
                 and Mrinal Kanti Naskar",
  booktitle =    "{2017 International Conference on Circuits, Controls,
                 and Communications (CCUBE)}",
  title =        "Area efficient architecture of Hyperbolic functions
                 for high frequency applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "139--142",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/CCUBE.2017.8394139",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Field programmable gate arrays; FPGA;
                 Hardware; Hardware design languages; High-frequency
                 architecture; hyperbolic functions; Signal processing
                 algorithms; Table lookup; Unified Architecture",
}

@InProceedings{Sahu:2017:HLC,
  author =       "Anil Kumar Sahu and Vivek Kumar Chandra and G. R.
                 Sinha",
  booktitle =    "{2017 International conference on Microelectronic
                 Devices, Circuits and Systems (ICMDCS)}",
  title =        "High level computation technique for characterization
                 of sigma--delta {A/D} converter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICMDCS.2017.8211601",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Built-in self-test; Built-in-Self Test (BIST); CORDIC;
                 Mathematical model; Modulation; Modulator Performance
                 Evaluation (MPE); Output Response Analyzer (ORA);
                 Sigma-delta modulation; Signal to noise ratio; Signal
                 to Noise Ratio (SNR); TSG",
}

@InProceedings{Sapper:2017:ECN,
  author =       "Andr{\'e} N. Sapper and Leonardo Soares and Eduardo
                 Costa and Sergio Bampi",
  booktitle =    "{2017 24th IEEE International Conference on
                 Electronics, Circuits and Systems (ICECS)}",
  title =        "Exploring the combination of number of bits and number
                 of iterations for a power-efficient fixed-point
                 {CORDIC} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "302--305",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICECS.2017.8292079",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 CORDIC; Field programmable gate arrays; Hardware;
                 high-level; low power; Pipelines; Power demand; Signal
                 processing algorithms; VHDL",
}

@InProceedings{Setiawan:2017:IBT,
  author =       "Erwin Setiawan and Mukmin Maulana Latin and Vita
                 Awalia Mardiana and Trio Adiono",
  booktitle =    "{2017 International Symposium on Electronics and Smart
                 Devices (ISESD)}",
  title =        "Implementation of baseband transmitter design based on
                 {QPSK} modulation on {Zynq-7000} all-programmable
                 {System-on-Chip}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "138--143",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ISESD.2017.8253320",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithms; Baseband Signal; CORDIC; Hardware; IP
                 networks; Phase shift keying; QPSK; SoC; Software;
                 Transmitters; ZYBO; Zynq- 7000 AP SoC",
}

@Article{Shabani:2017:LPD,
  author =       "Ahmad Shabani and Somayeh Timarchi",
  title =        "Low-power {DCT}-based compressor for wireless capsule
                 endoscopy",
  journal =      j-SIGNAL-PROCESS-IMAGE-COMMUN,
  volume =       "59",
  pages =        "83--95",
  year =         "2017",
  CODEN =        "SPICEF",
  DOI =          "https://doi.org/10.1016/j.image.2017.03.003",
  ISSN =         "0923-5965 (print), 1879-2677 (electronic)",
  ISSN-L =       "0923-5965",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0923596517300383",
  acknowledgement = ack-nhfb,
  fjournal =     "Signal Processing: Image Communication",
  keywords =     "Discrete Cosine Transform; JPEG standard; Lookahead
                 CORDIC; Low-power computing; VLSI; Wireless Capsule
                 Endoscopy",
}

@Article{Shi:2017:RIF,
  author =       "X. W. Shi and C. Wang and C. L. Zhang",
  title =        "Research and Implementation of Floating-Point
                 Exponential Function Algorithm Based on {FPGA}",
  journal =      "Journal of Computer Measurement and Control",
  volume =       "10",
  number =       "??",
  pages =        "226--228",
  month =        "????",
  year =         "2017",
  DOI =          "",
  bibdate =      "Tue Nov 11 20:15:53 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  URL =          "",
  acknowledgement = ack-nhfb,
}

@InProceedings{Singh:2017:CDS,
  author =       "Gurwinder Singh and Lakshmi Shrinivasan",
  booktitle =    "{2017 2nd IEEE International Conference on Recent
                 Trends in Electronics, Information \& Communication
                 Technology (RTEICT)}",
  title =        "Concept and design of scaling-free, look-ahead
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1656--1659",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/RTEICT.2017.8256880",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Communications
                 technology; Computer architecture; Conferences;
                 coordinate; coordinate-computation; CORDIC; Generators;
                 Heuristic algorithms; look-ahead; Market research;
                 scaling-free; shift-add",
}

@InProceedings{Singhal:2017:FIP,
  author =       "Akarshika Singhal and Anjana Goen and Tanutrushna
                 Mohapatra",
  booktitle =    "{2017 7th International Conference on Communication
                 Systems and Network Technologies (CSNT)}",
  title =        "{FPGA} implementation and power efficient {CORDIC}
                 based {ADPLL} for signal processing and application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "325--329",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/CSNT.2017.8418560",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "All Digital Phase Locked Loop (ADPLL); COordinate
                 Rotation DIgital Computer (CORDIC); Direct Digital
                 Synthesizer (DDS); Field programmable gate arrays;
                 Finite impulse response filters; Logic gates; Phase
                 frequency Detector (PFD); Phase Locked Loop (PLL);
                 Phase locked loops; Power harmonic filters; Table
                 lookup; Transforms",
}

@InProceedings{Sokolovskiy:2017:HDC,
  author =       "A. V. Sokolovskiy and A. B. Gladyshev and D. D.
                 Dmitriev and V. N. Ratushniak",
  booktitle =    "{2017 Dynamics of Systems, Mechanisms and Machines
                 (Dynamics)}",
  title =        "Hardware diagram computing devices navigation
                 equipment consumers {SRNS}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/Dynamics.2017.8239510",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; CORDIC; Delays; Field
                 programmable gate arrays; Hardware; hardware adder;
                 hardware multiplier; Navigation; pipeline; Pipeline
                 processing",
  xxnote =       "Check: Sokolovskii or Sokolovskiy??",
}

@InProceedings{Sushma:2017:LAB,
  author =       "Sushma and Venkataratnam and Siva Yellampalli",
  booktitle =    "{2017 International Conference on Electrical,
                 Electronics, Communication, Computer, and Optimization
                 Techniques (ICEECCOT)}",
  title =        "A low-area based {Costas} loop implementation for
                 {BPSK} signals",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "196--200",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICEECCOT.2017.8284664",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; CORDIC algorithm;
                 Costas Loop; Filtering algorithms; Finite impulse
                 response filters; Folded and unfolded filters; IIR
                 filters; Low pass filters; LUT based NCO; MATLAB and
                 Simulink; Picture archiving and communication systems;
                 Receivers; Spartan 3e FPGA; Symmetric FIR filter",
}

@Article{Torres:2017:OCB,
  author =       "V. Torres and J. Valls and M. J. Canet",
  title =        "Optimised {CORDIC}-based {\tt atan2} computation for
                 {FPGA} implementations",
  journal =      j-ELECT-LETTERS,
  volume =       "53",
  number =       "19",
  pages =        "1296--1298",
  year =         "2017",
  CODEN =        "ELLEAK",
  DOI =          "https://doi.org/10.1049/el.2017.2090",
  ISSN =         "0013-5194 (print), 1350-911X (electronic)",
  ISSN-L =       "0013-5194",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/el.2017.2090",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/el.2017.2090",
  fjournal =     "Electronics Letters",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=2220",
  keywords =     "field programmable gate arrays, digital arithmetic,
                 table lookup, optimised CORDIC-based atan2 computation,
                 atan2 operator, coordinate rotation digital computer
                 algorithm, z-path computation, look-up table-based FPGA
                 resources",
}

@Article{Tsai:2017:FGM,
  author =       "Yu-Cheng Tsai and Chiao-En Chen and Chia-Hsiang Yang",
  title =        "A Flexible Geometric Mean Decomposition Processor for
                 {MIMO} Communication Systems",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "64",
  number =       "2",
  pages =        "446--456",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/TCSI.2016.2604380",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "CORDIC; geometric mean decomposition (GMD); Hardware;
                 Matrix decomposition; MIMO;
                 multiple-input-multiple-output (MIMO); precoding;
                 reconfigurable architecture; Throughput; Transceivers;
                 Transmission line matrix methods",
}

@InProceedings{Vo-Thi:2017:FPF,
  author =       "Phuong-Thao Vo-Thi and Trong-Thuc Hoang and Cong-Kha
                 Pham and Duc-Hung Le",
  booktitle =    "{2017 International Conference on Recent Advances in
                 Signal Processing, Telecommunications \& Computing
                 (SigTelCom)}",
  title =        "A floating-point {FFT} Twiddle factor implementation
                 based on adaptive angle recoding {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "21--26",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/SIGTELCOM.2017.7849789",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Computer architecture;
                 Field programmable gate arrays; OFDM; Signal
                 processing; Signal processing algorithms;
                 Telecommunications",
}

@InProceedings{Wenming:2017:RTS,
  author =       "Tang Wenming and Liu Guixiong and Li Yuzhong and Tan
                 Daji",
  booktitle =    "{2017 13th IEEE International Conference on Electronic
                 Measurement \& Instruments (ICEMI)}",
  title =        "Real-time S-scan imaging reconstruction for ultrasonic
                 phase array based on {CORDIC} and sectorial
                 interpolation algorithms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "567--572",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICEMI.2017.8265894",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustics; Algorithm design and analysis; Field
                 programmable gate arrays; Image reconstruction;
                 Instruments; Interpolation; Radix4-CORDIC; Real-time;
                 Real-time systems; S-scan; sectorial interpolation",
}

@InProceedings{Xiang:2017:RUC,
  author =       "Li Xiang and Liu Yaohua",
  booktitle =    "{2017 4th International Conference on Information
                 Science and Control Engineering (ICISCE)}",
  title =        "Research on Uncertainty of {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "651--654",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICISCE.2017.141",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Algorithm design and analysis; Approximation error;
                 Coordinate rotation digital computer (CORDIC); error
                 analysis; Numerical simulation; Simulation; Standards;
                 trigonometric function; uncertainty; Uncertainty; Upper
                 bound",
}

@Article{Zhang:2017:FIS,
  author =       "Shuiping Zhang and Xin Tian and Chengyi Xiong and
                 Jinwen Tian and Delie Ming",
  title =        "Fast Implementation for the Singular Value and
                 Eigenvalue Decomposition Based on {FPGA}",
  journal =      "Chinese Journal of Electronics",
  volume =       "26",
  number =       "1",
  pages =        "132--136",
  year =         "2017",
  DOI =          "https://doi.org/10.1049/cje.2016.06.033",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational efficiency; CORDIC; Digital computers;
                 Eigenvalues and eigenfunctions; EVD; Field programmable
                 gate arrays; FPGA; Hardware; Jacobi; Logic gates;
                 Performance analysis; Real-time systems; Singular value
                 decomposition; SVD; Systolic arrays",
}

@Article{Zhu:2017:LLL,
  author =       "Baozhou Zhu and Yuanwu Lei and Yuanxi Peng and
                 Tingting He",
  title =        "Low Latency and Low Error Floating-Point Sine\slash
                 Cosine Function Based {TCORDIC} Algorithm",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "64",
  number =       "4",
  pages =        "892--905",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/TCSI.2016.2631588",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Adders; Algorithm design and analysis; Convergence;
                 CORDIC; Delays; floating-point sine/cosine; low
                 latency; Prediction algorithms; Signal processing
                 algorithms; Table lookup; Taylor",
}

@InProceedings{Zuluaga:2017:SAN,
  author =       "J. R. Zuluaga and C. H. Rodr{\'\i}guez and L. J.
                 Casta{\~n}{\'o}n and J. L. Naredo",
  booktitle =    "{2017 14th International Conference on Electrical
                 Engineering, Computing Science and Automatic Control
                 (CCE)}",
  title =        "Sampling approaches for the numerical {Laplace}
                 transform and its {FPGA} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2017",
  DOI =          "https://doi.org/10.1109/ICEEE.2017.8108824",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computational burden; CORDIC; Fast Fourier
                 Transform; Field programmable gate arrays; Fixed point
                 numbers; FPGA; Inverse Numerical Laplace Transform;
                 Laplace equations; Mathematical model; MATLAB; Read
                 only memory; Sampling",
}

@InProceedings{Barakat:2018:FIC,
  author =       "Mohamed Barakat and Waleed Saad and Mona Shokair",
  booktitle =    "{2018 13th International Conference on Computer
                 Engineering and Systems (ICCES)}",
  title =        "{FPGA} Implementation of Cyclostationary Feature
                 Detector for Cognitive Radio {OFDM} Signals",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "215--218",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICCES.2018.8639225",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cognitive radio; Complexity theory; CORDIC;
                 Correlation; Cyclostationary --- Arria V GZ; Detectors;
                 Field programmable gate arrays; OFDM; OFDM signals;
                 Spatial Sign Function",
}

@InProceedings{Barthel:2018:HIB,
  author =       "Moritz B{\"a}rthel and Jochen Rust and Steffen Paul",
  booktitle =    "{2018 52nd Asilomar Conference on Signals, Systems,
                 and Computers}",
  title =        "Hardware Implementation of Basic Arithmetics and
                 Elementary Functions for Unum Computing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "125--129",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ACSSC.2018.8645453",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Arithmetic; Clocks; Computer architecture;
                 CORDIC; Field programmable gate arrays; Floating Point;
                 Hardware; Open area test sites; Standards; Unum",
}

@InBook{Baruah:2018:EVI,
  author =       "Rashmita Baruah and Preetisudha Meher and Ashwini
                 Kumar Pradhan",
  booktitle =    "Information Systems Design and Intelligent
                 Applications",
  title =        "Efficient {VLSI} Implementation of {CORDIC}-Based
                 Multiplier Architecture",
  publisher =    "Springer Singapore",
  pages =        "441--450",
  month =        dec,
  year =         "2018",
  DOI =          "https://doi.org/10.1007/978-981-13-3329-3_41",
  ISBN =         "981-13-3329-7",
  ISBN-13 =      "978-981-13-3329-3",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Biswas:2018:CFQ,
  author =       "Dwaipayan Biswas and Zixuan Ye and Evangelos B.
                 Mazomenos and Michael J{\"o}bges and Koushik
                 Maharatna",
  booktitle =    "{2018 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{CORDIC} Framework for Quaternion-based Joint Angle
                 Computation to Classify Arm Movements",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ISCAS.2018.8350967",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "activity recognition; classification; Computer
                 architecture; CORDIC; Elbow; Estimation; Magnetic
                 sensors; MARG sensor; quaternion; Quaternions;
                 Shoulder",
}

@InProceedings{Biswas:2018:RAB,
  author =       "Rathindra Nath Biswas and Anurup Saha and Swarup Kumar
                 Mitra and Mrinal Kanti Naskar",
  booktitle =    "{2018 Emerging Trends in Electronic Devices and
                 Computational Techniques (EDCT)}",
  title =        "Realization of adaptive beamforming in smart antennas
                 on a reconfigurable architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/EDCT.2018.8405061",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Ad hoc networks; Adaptive beamforming; Array signal
                 processing; Computer architecture; CORDIC; Field
                 programmable gate arrays; FPGA; FSM; Hardware; PSO;
                 Signal processing algorithms; Smart antennas; Wireless
                 communication",
}

@InProceedings{Changela:2018:AIH,
  author =       "Ankur Changela and Mazad Zaveri and Anurag Lakhlani",
  booktitle =    "{2018 International Conference on Advances in
                 Computing, Communications and Informatics (ICACCI)}",
  title =        "{ASIC} Implementation of High Performance Radix-8
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "699--705",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICACCI.2018.8554883",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Convergence; Delays; Hardware;
                 Mathematical model; Real-time systems; Very large scale
                 integration",
}

@InProceedings{Changela:2018:FIA,
  author =       "Ankur Changela and Mazad Zaveri and Anurag Lakhlani",
  booktitle =    "{2018 International Conference on Current Trends
                 towards Converging Technologies (ICCTCT)}",
  title =        "{FPGA} Implementation of Asynchronous Mousetrap
                 Pipelined Radix-2 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "252--258",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICCTCT.2018.8551112",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; Delays; Field
                 programmable gate arrays; Latches; Pipelines;
                 Protocols",
}

@InProceedings{Chou:2018:NTC,
  author =       "Pei-Yuan Chou and Ya-Bei Fang and Bo-Hao Chen and
                 Chien-Tung Liu and Tay-Ji Lin and Jinn-Shyan Wang",
  booktitle =    "{2018 31st IEEE International System-on-Chip
                 Conference (SOCC)}",
  title =        "Near-Threshold {CORDIC} Design with Dynamic Circuitry
                 for Long-Standby {IoT} Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "250--253",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/SOCC.2018.8618488",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Design methodology; dynamic circuit;
                 Internet of Things; IoT; Manufacturing; near threshold
                 voltage; Pulse generation; Technological innovation;
                 ultra-low leakage; Vehicle dynamics",
}

@InProceedings{De:2018:MLH,
  author =       "Debaprasad De and Archisman Ghosh and K. Gaurav Kumar
                 and Anurup Saha and Mrinal Kanti Naskar",
  booktitle =    "{2018 IEEE Applied Signal Processing Conference
                 (ASPCON)}",
  title =        "Multiplier-less Hardware Realization of Trigonometric
                 Functions for High Speed Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "149--152",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ASPCON.2018.8748709",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Conferences; CORDIC; Field programmable gate arrays;
                 FPGA; Hardware; Signal processing; Signal processing
                 algorithms; Table lookup; Trigonometric functions;
                 Unified architecture",
}

@InProceedings{Elnabawy:2018:LPC,
  author =       "Abdelrahim Elnabawy and Hussien Abdelmohsen and
                 Moatasem Moustafa and Mostafa Elbediwy and Amr Helmy
                 and Hassan Mostafa",
  booktitle =    "{2018 16th IEEE International New Circuits and Systems
                 Conference (NEWCAS)}",
  title =        "A Low Power {CORDIC}-based Hardware Implementation of
                 {Izhikevich} Neuron Model",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "130--133",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/NEWCAS.2018.8585485",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptation models; Application specific integrated
                 circuit (ASIC); Approximation algorithms; Biological
                 system modeling; CORDIC; Hardware; Hardware design
                 languages; Hardware implementation; Izhikevich;
                 Mathematical model; Neuron; Neurons; Spiking Neural
                 Network",
}

@InProceedings{Evangelista:2018:FPC,
  author =       "Guillermo Evangelista and Carlos Olaya and Erick
                 Rodr{\'\i}guez",
  booktitle =    "{2018 WRC Symposium on Advanced Robotics and
                 Automation (WRC SARA)}",
  title =        "Fully-pipelined {CORDIC}-based {FPGA} Realization for
                 a {3-DOF} Hexapod-Leg Inverse Kinematics Calculation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "237--242",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/WRC-SARA.2018.8584238",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Convergence; CORDIC; FPGA; Hardware;
                 hexapod-leg.; Kinematics; kinematics calculation;
                 Legged locomotion; Read only memory",
}

@InProceedings{Hoang:2018:HSP,
  author =       "Trong-Thuc Hoang and Cong-Kha Pham and Duc-Hung Le",
  booktitle =    "{2018 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "High-speed 8/16/32-point {DCT} Architecture Using
                 Fixed-rotation Adaptive {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ISCAS.2018.8351090",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Computer architecture; Discrete cosine
                 transforms; Encoding; Field programmable gate arrays;
                 Gain; Timing",
}

@InProceedings{Hoang:2018:VDF,
  author =       "Trong-Thuc Hoang and Duc-Hung Le and Cong-Kha Pham",
  booktitle =    "{2018 IEEE 12th International Symposium on Embedded
                 Multicore/Many-core Systems-on-Chip (MCSoC)}",
  title =        "{VLSI} Design of Floating-Point Twiddle Factor Using
                 Adaptive {CORDIC} on Various Iteration Limitations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "225--232",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/MCSoC2018.2018.00044",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Fast Fourier transforms; Field programmable
                 gate arrays; Floating point; Synthetic aperture radar;
                 Table lookup; Throughput; Timing; Twiddle Factor;
                 VLSI",
}

@Article{Kulshreshtha:2018:CBH,
  author =       "Tanmai Kulshreshtha and Anindya Sundar Dhar",
  title =        "{CORDIC}-Based High Throughput Sliding {DFT}
                 Architecture with Reduced Error-Accumulation",
  journal =      j-CSSP,
  volume =       "37",
  number =       "11",
  pages =        "5101--5126",
  month =        apr,
  year =         "2018",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-018-0810-z",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InBook{Kumari:2018:RLS,
  author =       "Aishwarya Kumari and D. P. Acharya",
  booktitle =    "Recent Findings in Intelligent Computing Techniques",
  title =        "Reduced Latency Square-Root Calculation for Signal
                 Processing Using Radix-4 Hyperbolic {CORDIC}",
  publisher =    "Springer Singapore",
  pages =        "219--225",
  year =         "2018",
  DOI =          "https://doi.org/10.1007/978-981-10-8636-6_23",
  ISBN =         "981-10-8636-2",
  ISBN-13 =      "978-981-10-8636-6",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Lee:2018:ELL,
  author =       "Hyukyeon Lee and Kyungmook Oh and Minjeong Cho and
                 Yunseok Jang and Jaeseok Kim",
  title =        "Efficient Low-Latency Implementation of {CORDIC}-based
                 Sorted {$ Q R $} Decomposition for Multi-Gbps {MIMO}
                 Systems",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "65",
  number =       "10",
  pages =        "1375--1379",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSII.2018.2853099",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Complexity theory; Coordinate rotation digital
                 computer (CORDIC); Givens rotation; Hardware; Matrix
                 decomposition; MIMO; MIMO communication; QR
                 decomposition (QRD); real-value decomposition (RVD);
                 Sorting; Throughput; Very large scale integration",
}

@InProceedings{Lee:2018:LLI,
  author =       "Hyukyeon Lee and Hanjun Kim and Minjung Cho and
                 Jaeseok Kim",
  booktitle =    "{2018 28th International Conference Radioelektronika
                 (RADIOELEKTRONIKA)}",
  title =        "Low-latency implementation of {CORDIC}-based sorted {$
                 Q R $} decomposition for high-speed {MIMO-OFDM}
                 system",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/RADIOELEK.2018.8376356",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antennas; Bit error rate; Complexity theory; Computer
                 architecture; coordinate rotation digital
                 computer(CORDIC); Givens rotation; MIMO communication;
                 multiple-input and multiple-output(MIMO); real-value
                 decomposition(RVD); Silicon carbide; sorted QR
                 decomposition; Sorting",
}

@Article{Liu:2018:HIP,
  author =       "Tsung-Hsien Liu and Yi-Kuang Ko and Yen-Ju Chiu and
                 Wen-Yen Lin and Yuan-Sun Chu",
  title =        "Hardware Implementation of the Preprocessing {$ Q R
                 $}-Decomposition for the Soft-Output {MIMO} Detection
                 With Multiple Tree Traversals",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "65",
  number =       "2",
  pages =        "186--190",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSII.2017.2703818",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Complexity theory; Computer architecture; CORDIC
                 module; Detectors; Givens rotation; Hardware; MIMO;
                 Multiple input multiple output system;
                 QR-decomposition; Receiving antennas; soft-output
                 detection; Throughput; triangular systolic array",
}

@InProceedings{Liu:2018:IIC,
  author =       "Peng Liu and Yarong Guo and Yue Li and Song Liu",
  booktitle =    "{2018 International Conference on Cyber-Enabled
                 Distributed Computing and Knowledge Discovery
                 (CyberC)}",
  title =        "{IQ} Imbalance Compensation Based on {CORDIC}
                 Algorithm in {VLC-OFDM} System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "460--4604",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/CyberC.2018.00089",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cordic algorithm; IQ imbalance; LED nonlinearity;
                 Light emitting diodes; Mathematical model; Peak to
                 average power ratio; Phase shift keying; Receivers;
                 Visible light communication",
}

@Article{Liu:2018:PRB,
  author =       "Yidong Liu and Tieying Ma",
  title =        "Parasitic Resistance-Based High Precision Capacitive
                 {MEMS} Accelerometer Phase Shift and Its Usage for
                 Temperature Compensation",
  journal =      "IEEE Sensors Journal",
  volume =       "18",
  number =       "2",
  pages =        "629--634",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/JSEN.2017.2777864",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accelerometers; Capacitance; Capacitive sensors;
                 CORDIC; MEMS accelerometer; Micromechanical devices;
                 Resistance; temperature coefficient; temperature
                 compensation; Temperature sensors",
}

@Article{Luo:2018:CBA,
  author =       "Yuanyong Luo and Yuxuan Wang and Huaqing Sun and Yi
                 Zha and Zhongfeng Wang and Hongbing Pan",
  title =        "{CORDIC}-based Architecture for Computing {$N$} th
                 Root and Its Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "65",
  number =       "12",
  pages =        "4183--4195",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSI.2018.2835822",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computer architecture; Convergence; convergence range;
                 CORDIC; fixed-point; Hardware; high speed; Mathematical
                 model; Matlab; Nth root; pipelined structure;
                 Standards; Task analysis",
}

@InProceedings{Mokhtar:2018:ITF,
  author =       "A. S. N. Mokhtar and M. I. Ayub and N. Ismail and N.
                 G. Nik Daud",
  booktitle =    "{AIP} Conference Proceedings",
  title =        "Implementation of trigonometric function using
                 {CORDIC} algorithms",
  volume =       "1930",
  publisher =    pub-AIP,
  address =      pub-AIP:adr,
  pages =        "020040",
  year =         "2018",
  DOI =          "https://doi.org/10.1063/1.5022934",
  ISSN =         "0094-243x (print), 1551-7616 (electronic), 1935-0465",
  bibdate =      "Sat Oct 18 15:41:08 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Mullane:2018:PPD,
  author =       "Brendan Mullane and Vincent O'Brien",
  booktitle =    "{2018 IEEE 61st International Midwest Symposium on
                 Circuits and Systems (MWSCAS)}",
  title =        "An In-Place Processor Design for Real-Value {FFTs}
                 Targeting in-situ Dynamic {ADC} Test",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "591--594",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/MWSCAS.2018.8623967",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Analog to Digital Converter (ADC); Built-in self-test;
                 Built-In-Self-Test (BIST); Computer architecture;
                 CORDIC; Fast Fourier Transform (FFT); Fast Fourier
                 transforms; Hardware; in-place; Parameter extraction;
                 Real Value Fast Fourier Transform (RFFT);
                 System-on-chip",
}

@Article{Murty:2018:RME,
  author =       "Mahesh S. Murty and Rahul Shrestha",
  title =        "Reconfigurable and Memory-Efficient Cyclostationary
                 Spectrum Sensor for Cognitive-Radio Wireless Networks",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "65",
  number =       "8",
  pages =        "1039--1043",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSII.2018.2790952",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Algorithm design and analysis; Cognitive radio;
                 Computer architecture; CORDIC; Correlation;
                 cyclostationary signal; Detectors; FPGA; Hardware;
                 OFDM; spectrum sensing; USRP; VLSI architecture;
                 Wireless networks",
}

@InProceedings{Nguyen:2018:EFP,
  author =       "Hong-Thu Nguyen and Xuan-Thuan Nguyen and Cong-Kha
                 Pham",
  booktitle =    "{2018 23rd Asia and South Pacific Design Automation
                 Conference (ASP-DAC)}",
  title =        "An efficient fixed-point arithmetic processor using a
                 hybrid {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "327--328",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ASPDAC.2018.8297343",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Approximation algorithms; CMOS technology;
                 Complexity theory; Hardware; Logic gates; Power
                 demand",
}

@Article{Nguyen:2018:HPR,
  author =       "Ngoc Hung Nguyen and Sheraz Ali Khan and Cheol-Hong
                 Kim and Jong-Myon Kim",
  title =        "A high-performance, resource-efficient, reconfigurable
                 parallel-pipelined {FFT} processor for {FPGA}
                 platforms",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "60",
  pages =        "96--106",
  year =         "2018",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2018.04.003",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933117302788",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "CSDBE; DDC architecture; FFT; FPGA;
                 Parallel-Pipelined; Radix-2 DIF; {CORDIC} algorithm",
}

@Article{Nguyen:2018:LPH,
  author =       "Hong-Thu Nguyen and Xuan-Thuan Nguyen and Cong-Kha
                 Pham",
  title =        "A Low-Power Hybrid Adaptive {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "65",
  number =       "4",
  pages =        "496--500",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSII.2017.2732451",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "65 nm; CORDIC; Leakage currents; Logic gates; low
                 power; Power demand; Resource management; SOTB;
                 Threshold voltage; Transistors",
}

@InProceedings{Omran:2018:FDB,
  author =       "Safaa S. Omran and Ahmed K. Abdul-abbas",
  booktitle =    "{2018 International Conference on Advanced Science and
                 Engineering (ICOASE)}",
  title =        "Fast {$ Q R $} Decomposition Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "189--193",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICOASE.2018.8548895",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "32-Bits processor; Computer architecture; CORDIC
                 square root; Field programmable gate arrays;
                 Gram-Schmidt; Mathematical model; Matrix decomposition;
                 MIMO communication; QR decomposition; Registers;
                 Throughput; Verilog HDL",
}

@InProceedings{Parmar:2018:HEV,
  author =       "Yashrajsinh Parmar and K. Sridharan",
  booktitle =    "{IECON 2018 --- 44th Annual Conference of the IEEE
                 Industrial Electronics Society}",
  title =        "Hardware-Efficient Velocity Estimation of Dynamic
                 Obstacles Based on a Novel Radix-4 {CORDIC} and {FPGA}
                 Implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "3770--3775",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/IECON.2018.8591409",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximate Radix-4 CORDIC; Computer architecture;
                 Dynamic Obstacles; Estimation; Experiments; Field
                 programmable gate arrays; FPGA Realization; Hardware;
                 Hardware-Efficient Robot Navigation; Mobile robots;
                 Navigation; Read only memory; Velocity Estimation",
}

@Article{Parmar:2018:PBR,
  author =       "Yashrajsinh Parmar and Krishnamurthy Sridharan",
  title =        "Precomputation-based radix-4 {CORDIC} for approximate
                 rotations and {Hough} transform",
  journal =      "IET Circuits, Devices \& Systems",
  volume =       "12",
  number =       "4",
  pages =        "413--423",
  year =         "2018",
  DOI =          "https://doi.org/10.1049/iet-cds.2017.0492",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/iet-cds.2017.0492",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/iet-cds.2017.0492",
  keywords =     "digital arithmetic, field programmable gate arrays,
                 Hough transforms, precomputation-based radix-4 CORDIC
                 algorithm, approximate rotations, vector rotation,
                 image processing, digital signal processing, robotics,
                 low-overhead sign-precomputation-based architecture,
                 coordinate rotation digital computer algorithm,
                 Z-datapath, arctangent function summation, optimal
                 microrotation angle selection, elementary angles, FPGA,
                 XC7K70T-3FBG676 Kintex-7, Xilinx ISE 13.2, slice-delay
                 product reduction, power-delay product reduction, Hough
                 transform-based lane detection, parameter space",
}

@InProceedings{Pendem:2018:ECA,
  author =       "Suganda Pendem and Rajshekar B. Shettar",
  booktitle =    "{2018 International Conference on Networking, Embedded
                 and Wireless Systems (ICNEWS)}",
  title =        "Enhancing Computational Accuracy and Performance of
                 Interval Arithmetic Double Rotation {CORDIC}
                 algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICNEWS.2018.8903935",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Arithmetic; Computer architecture; Cordic;
                 Digital signal processing; DSP; Interval arithmetic;
                 MATLAB; MATLAB and INTLAB; Monitoring; Real-time
                 systems; Signal processing algorithms; Very large scale
                 integration; Wireless communication",
}

@InProceedings{Polikarovskykh:2018:DDF,
  author =       "O Polikarovskykh and I. V. Gula and L. Kovtun and L.
                 Karpova",
  booktitle =    "{2018 International Conference on Information and
                 Telecommunication Technologies and Radio Electronics
                 (UkrMiCo)}",
  title =        "Direct digital frequency synthesizer with a combined
                 method of synthesis output harmonic signal",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/UkrMiCo43733.2018.9047605",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "adder; Adders; Communications technology; CORDIC;
                 direct frequency synthesizer (DDS); Frequency response;
                 Frequency synthesizers; Harmonic analysis;
                 Synthesizers; Taylor; Taylor series",
}

@InProceedings{Puzyrev:2018:SFD,
  author =       "Pavel I. Puzyrev and Kirill V. Semenov and Sergey A.
                 Zavyalov",
  booktitle =    "{2018 19th International Conference of Young
                 Specialists on Micro/Nanotechnologies and Electron
                 Devices (EDM)}",
  title =        "Spurious-Free Dynamic Range of {CORDIC} Based Digital
                 Quadrature Demodulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "167--171",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/EDM.2018.8434980",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Demodulation; digital down converter; digital
                 mixer; Digital signal processing; Electron devices;
                 Harmonic analysis; Mixers; Power harmonic filters;
                 SFDR; Signal processing algorithms",
}

@InBook{Rai:2018:FRS,
  author =       "Shalini Rai and Rajeev Srivastava",
  booktitle =    "Recent Trends in Communication, Computing, and
                 Electronics",
  title =        "{FPGA} Realization of Scale-Free {CORDIC}
                 Algorithm-Based Window Functions",
  publisher =    "Springer Singapore",
  pages =        "245--257",
  month =        dec,
  year =         "2018",
  DOI =          "https://doi.org/10.1007/978-981-13-2685-1_24",
  ISBN =         "981-13-2685-1",
  ISBN-13 =      "978-981-13-2685-1",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Raj:2018:SSH,
  author =       "E. P. Rejil Raj and Bibin Sam Paul and G. Lakshmi
                 Narayanan",
  booktitle =    "{2018 9th International Conference on Computing,
                 Communication and Networking Technologies (ICCCNT)}",
  title =        "Simplified {SIFT} Histogram of Oriented Gradients Bin
                 Locator on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICCCNT.2018.8493928",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Feature extraction; Field programmable gate
                 arrays; FPGA; GSS; Hardware; Histograms; HOG; LUT;
                 Real-time systems; Resource management; SIFT; Table
                 lookup",
}

@Article{Ray:2018:CBV,
  author =       "Kailash Chandra Ray and Anindya Sundar Dhar",
  title =        "{CORDIC}-Based {VLSI} Architectures of Running {DFT}
                 with Refreshing Mechanism",
  journal =      "Journal of Signal Processing Systems",
  volume =       "91",
  number =       "5",
  pages =        "539--550",
  month =        apr,
  year =         "2018",
  DOI =          "https://doi.org/10.1007/s11265-018-1362-y",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@InProceedings{Rekha:2018:FIE,
  author =       "R. Rekha and Karunakara P. Menon",
  booktitle =    "{2018 3rd IEEE International Conference on Recent
                 Trends in Electronics, Information \& Communication
                 Technology (RTEICT)}",
  title =        "{FPGA} implementation of exponential function using
                 {CORDIC} {IP} core for extended input range",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "597--600",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/RTEICT42901.2018.9012611",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC IP Core; Exponential
                 function; Field programmable gate arrays; FPGA;
                 Hardware; hardware implementation; IP networks; Matlab;
                 Signal processing algorithms; Simulation",
}

@InProceedings{Roy:2018:DSW,
  author =       "S. Roy and D. Kumar and A. Dandapat and P. Saha",
  booktitle =    "{2018 2nd International Conference on Trends in
                 Electronics and Informatics (ICOEI)}",
  title =        "Discretized Sinusoidal Waveform Generators for Signal
                 Processing Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1350--1353",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICOEI.2018.8553745",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bhaskara's Sine Approximation; Computer architecture;
                 Conferences; CORDIC; Delays; Error analysis; Hardware;
                 Hardware design languages; Mathematical model;
                 Parabolic Synthesis; Taylor series; Taylor's Series",
}

@InProceedings{Sahoo:2018:HIC,
  author =       "Anuvab Sahoo and Mamata Panigrahy",
  booktitle =    "{2018 International Conference on Applied
                 Electromagnetics, Signal Processing and Communication
                 (AESPC)}",
  title =        "Hardware Implementation of {CORDIC} Algorithm",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/AESPC44649.2018.9033343",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computer architecture; CORDIC; cosine; Field
                 programmable gate arrays; FPGA; Hardware; pipelined
                 architecture; Read only memory; rotation; Signal
                 processing algorithms; sine; tangent; Throughput",
}

@InProceedings{Samila:2018:WGD,
  author =       "A. P. Samila and G. I. Lastivka and L. F. Politansky
                 and T. A. Kazemirskiy",
  booktitle =    "{2018 14th International Conference on Advanced Trends
                 in Radioelecrtronics, Telecommunications and Computer
                 Engineering (TCSET)}",
  title =        "Waveform generation for the digital synthesis systems
                 based on embedded hardware",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "831--834",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSET.2018.8336326",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC approximation; digital synthesis; Harmonic
                 distortion; harmonic distortion; Interpolation; linear
                 interpolation",
}

@InProceedings{Sawant:2018:WGR,
  author =       "Avilash Sawant and Shilpa Patil and K. Aurobindo",
  booktitle =    "{2018 3rd IEEE International Conference on Recent
                 Trends in Electronics, Information \& Communication
                 Technology (RTEICT)}",
  title =        "Waveform generator for {RADAR} using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "905--909",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/RTEICT42901.2018.9012158",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; CORDIC algorithm; Direct digital frequency
                 synthesizer; Doppler radar; Field programmable gate
                 arrays; Radar antennas; RADAR waveforms; Signal
                 generators; Signal resolution; Spartan 6 FPGA",
}

@Article{Shin:2018:LLA,
  author =       "Dongyeob Shin and Jongsun Park",
  title =        "A Low-Latency and Area-Efficient {Gram Schmidt}-Based
                 {QRD} Architecture for {MIMO} Receiver",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "65",
  number =       "8",
  pages =        "2606--2616",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSI.2018.2795342",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Complexity theory; Computer architecture; CORDIC;
                 energy efficiency; Gram Schmidt; Hardware; hardware
                 efficiency; Matrix decomposition; MIMO; MIMO
                 communication; QR decomposition; Receiving antennas",
}

@InProceedings{Sokolovskii:2018:HSG,
  author =       "A. V. Sokolovskii and D. D. Dmitriev and I. N. Kartsan
                 and A. E. Goncharov",
  booktitle =    "{2018 Moscow Workshop on Electronic and Networking
                 Technologies (MWENT)}",
  title =        "A harmonic signal generator with a continuous phase",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/MWENT.2018.8337226",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; digital frequency transformation; direct
                 digital frequency synthesis; Generators; Hardware;
                 Harmonic analysis; instruction pipelining; Pipeline
                 processing; Pipelines; program receiver; Receivers;
                 Signal generators",
  xxnote =       "Check: Sokolovskii or Sokolovskiy??",
}

@Article{Thiripurasundari:2018:FIN,
  author =       "C. Thiripurasundari and V. Sumathy and C.
                 Thiruvengadam",
  title =        "An {FPGA} implementation of novel smart antenna
                 algorithm in tracking systems for smart cities",
  journal =      j-COMPUT-ELECTR-ENG,
  volume =       "65",
  pages =        "59--66",
  year =         "2018",
  CODEN =        "CPEEBQ",
  DOI =          "https://doi.org/10.1016/j.compeleceng.2017.06.009",
  ISSN =         "0045-7906 (print), 1879-0755 (electronic)",
  ISSN-L =       "0045-7906",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0045790617316609",
  acknowledgement = ack-nhfb,
  fjournal =     "Computers and Electrical Engineering",
  keywords =     "Beamforming; DOA; MSR-CORDIC; MUSIC; RLS",
}

@Article{Tseng:2018:DIH,
  author =       "Tzu-Ting Tseng and Chung-An Shen",
  title =        "Design and implementation of a high-throughput
                 configurable pre-processor for {MIMO} detections",
  journal =      j-MICROELECT-J,
  volume =       "72",
  pages =        "14--23",
  year =         "2018",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2017.10.004",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026269216304669",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "CORDIC; MIMO detection; MMSE; Pre-processor; QR
                 decomposition; Systolic array",
}

@InProceedings{Wang:2018:DIC,
  author =       "Xiaoyuan Wang",
  booktitle =    "{2018 International Conference on Robots \&
                 Intelligent System (ICRIS)}",
  title =        "Design and Implementation of {CORDIC} Algorithm Based
                 on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "70--71",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICRIS.2018.00026",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Conferences; Coordinate Rotation Algorithm; CORDIC
                 Agorithm; Intelligent systems; Iteration; Robots",
}

@InProceedings{Wang:2018:FEB,
  author =       "Leiou Wang and Donghui Wang and Chengpeng Hao",
  booktitle =    "{2018 26th European Signal Processing Conference
                 (EUSIPCO)}",
  title =        "A Fast Eigen-Based Signal Combining Algorithm by Using
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "618--622",
  year =         "2018",
  DOI =          "https://doi.org/10.23919/EUSIPCO.2018.8553406",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational efficiency; Correlation; Estimation;
                 Europe; Signal processing algorithms; Signal to noise
                 ratio",
}

@Article{Wang:2018:VVS,
  author =       "Chao Wang and Jianwen Luo and Jun Zhou",
  title =        "A 1-{V} to 0.29-{V} sub-{100-pJ}\slash operation
                 ultra-low power fast-convergence {CORDIC} processor in
                 0.18-$ \mu $ m {CMOS}",
  journal =      j-MICROELECT-J,
  volume =       "76",
  pages =        "52--62",
  year =         "2018",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2018.04.013",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S002626921730873X",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "Angle recoding; Computer arithmetic; CORDIC;
                 Sub/near-threshold operation; Ultra-low power design;
                 Ultra-low voltage operation; VLSI design",
}

@InProceedings{Xie:2018:AFB,
  author =       "Yu Xie and He Chen and Yi-Zhuang Xie and Chuang-An Mao
                 and Bing-Yi Li",
  booktitle =    "{2018 International Conference on Field-Programmable
                 Technology (FPT)}",
  title =        "An Automated {FPGA}-based Fault Injection Platform for
                 Granularly-Pipelined Fault Tolerant {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "370--373",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/FPT.2018.00076",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "C++ languages; Circuit faults; Computer architecture;
                 COordinate Rotation DIgital Computer (CORDIC); Fault
                 Injection; Fault tolerance; Fault tolerant systems;
                 Fault Tolerant(FT); Field programmable gate arrays;
                 Maintenance engineering; Single Event Upset(SEU); SRAM
                 based FPGA",
}

@Article{Yeh:2018:HSD,
  author =       "Chun-Yu Yeh and Ting-Chung Chu and Chiao-En Chen and
                 Chia-Hsiang Yang",
  title =        "A Hardware-Scalable {DSP} Architecture for Beam
                 Selection in mm-Wave {MU-MIMO} Systems",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "65",
  number =       "11",
  pages =        "3918--3928",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/TCSI.2018.2856124",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Approximation algorithms; Beam selection; beamspace
                 MIMO; Complexity theory; Computer architecture; CORDIC;
                 hybrid beamformer; MIMO communication; mm-wave;
                 Optimized production technology; Phased arrays",
}

@InProceedings{Yeshwanth:2018:HSS,
  author =       "Balaji Yeshwanth and Vutukuri Venkatesh and Repala
                 Akhil",
  booktitle =    "{2018 International Conference on Electrical,
                 Electronics, Communication, Computer, and Optimization
                 Techniques (ICEECCOT)}",
  title =        "High-Speed Single Precision Floating Point Multiplier
                 using {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "135--141",
  year =         "2018",
  DOI =          "https://doi.org/10.1109/ICEECCOT43722.2018.9001506",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Clocks; Computer architecture; CORDIC
                 algorithm; Delays; Kogge-Stone adder; Parallel
                 Prefixadder; Pipelines; Routing; Signal processing
                 algorithms; Single precision floating point multiplier;
                 Vedic multiplier",
}

@Article{Zechmeister:2018:CLM,
  author =       "M. Zechmeister",
  title =        "{CORDIC}-like method for solving {Kepler}'s equation",
  journal =      j-ASTRON-ASTROPHYS,
  volume =       "619",
  pages =        "A128",
  month =        nov,
  year =         "2018",
  CODEN =        "AAEJAF",
  DOI =          "https://doi.org/10.1051/0004-6361/201833162",
  ISSN =         "0004-6361 (print), 1432-0746 (electronic)",
  ISSN-L =       "0004-6361",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Astronomy and Astrophysics",
  journal-URL =  "https://www.aanda.org/component/issues/",
}

@Article{Zechmeister:2018:SKE,
  author =       "Mathias Zechmeister",
  title =        "Solving Kepler's equation {CORDIC}-like",
  journal =      "arXiv.org",
  volume =       "??",
  number =       "??",
  pages =        "??--??",
  year =         "2018",
  DOI =          "https://doi.org/10.48550/arxiv.1808.07062",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/1808.07062",
  abstract =     "Context. Many algorithms to solve Kepler's equations
                 require the evaluation of trigonometric or root
                 functions. Aims. We present an algorithm to compute the
                 eccentric anomaly and even its cosine and sine terms
                 without usage of other transcendental functions at
                 run-time. With slight modifications it is applicable
                 for the hyperbolic case, too.\par

                 Methods. Based on the idea of CORDIC, it requires only
                 additions and multiplications and a short table. The
                 table is independent of eccentricity and can be
                 hardcoded. Its length depends on the desired precision.
                 Results. The code is short. The convergence is linear
                 for all mean anomalies and eccentricities e (including
                 e = 1). As a stand-alone algorithm, single and double
                 precision is obtained with 29 and 55 iterations,
                 respectively. One half or two third of the iterations
                 can be saved in combination with Newton's or Halley's
                 method at the cost of one division.",
  acknowledgement = ack-nhfb,
  keywords =     "Instrumentation and Methods for Astrophysics
                 (astro-ph.IM), Earth and Planetary Astrophysics
                 (astro-ph.EP), Solar and Stellar Astrophysics
                 (astro-ph.SR), FOS: Physical sciences, FOS: Physical
                 sciences",
}

@Article{Zhao:2018:CBM,
  author =       "Dixian Zhao and Pingyang He",
  title =        "{CORDIC}-based Multi-{Gb/s} Digital Outphasing
                 Modulator for Highly Efficient Millimeter-Wave
                 Transmitters",
  journal =      "Wireless Communications and Mobile Computing",
  volume =       "2018",
  number =       "1",
  pages =        "7216870",
  year =         "2018",
  DOI =          "https://doi.org/10.1155/2018/7216870",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1155/2018/7216870",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1155/2018/7216870",
}

@InProceedings{Bui:2019:VMS,
  author =       "Ngoc-Tu Bui and Trong-Thuc Hoang and Duc-Hung Le and
                 Cong-Kha Pham",
  booktitle =    "{2019 IEEE International Conference on Industrial
                 Technology (ICIT)}",
  title =        "A 0.75-V {32-MHz 181-$ \mu $W} {SOTB-65nm}
                 Floating-point Twiddle Factor Using Adaptive {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "835--840",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICIT.2019.8754955",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Decision making; Frequency measurement; Registers;
                 Semiconductor device measurement; Silicon; Table
                 lookup",
}

@InProceedings{Bui:2019:VMW,
  author =       "Ngoc-Tu Bui and Trong-Thuc Hoang and Akinori Yamamoto
                 and Duc-Hung Le and Cong-Kha Pham",
  booktitle =    "{2019 IEEE SOI-3D-Subthreshold Microelectronics
                 Technology Unified Conference (S3S)}",
  title =        "A 0.75-V {58-MHz 340-} {W} {SOTB-65nm 32-point} {DCT}
                 Implementation Based on Fixed-rotation Adaptive
                 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/S3S46989.2019.9320693",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Discrete cosine transforms;
                 Logic gates; Semiconductor device measurement;
                 Throughput; Very large scale integration; Voltage
                 measurement",
}

@InProceedings{Chakraborty:2019:LLS,
  author =       "Anirban Chakraborty and Ayan Banerjee",
  booktitle =    "{2019 International Conference on Communication and
                 Signal Processing (ICCSP)}",
  title =        "Low Latency Semi-iterative {CORDIC} Algorithm using
                 Normalized Angle Recoding and its {VLSI}
                 Implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "0913--0920",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICCSP.2019.8698040",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Angle Recoding; Clocks; Computer architecture;
                 CORDIC; FPGA; Hardware; High Radix; Low Latency;
                 Normalized Angle.; Signal processing algorithms; Two
                 dimensional displays; Very large scale integration;
                 VLSI Architecture",
}

@InBook{Datta:2019:IUM,
  author =       "Debarshi Datta and Partha Mitra and Himadri Sekhar
                 Dutta",
  booktitle =    "Computational Intelligence, Communications, and
                 Business Analytics",
  title =        "Implementation of Universal Modulator Using {CORDIC}
                 Architecture in {FPGA}",
  publisher =    "Springer Singapore",
  pages =        "434--441",
  year =         "2019",
  DOI =          "https://doi.org/10.1007/978-981-13-8578-0_34",
  ISBN =         "981-13-8578-5",
  ISBN-13 =      "978-981-13-8578-0",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Dmitriev:2019:PSG,
  author =       "Dmitry Dmitriev and Aleksey Sokolovskiy and Andrey
                 Gladyshev and Vasily Ratushniak and Valery Tyapkin",
  booktitle =    "{2019 Ural Symposium on Biomedical Engineering,
                 Radioelectronics and Information Technology
                 (USBEREIT)}",
  title =        "Pseudorandom Sequence Generator Using {CORDIC}
                 Processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "477--480",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/USBEREIT.2019.8736647",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Code Division Multiple Access; computing pipeline;
                 CORDIC processor; Field programmable gate arrays;
                 Frequency modulation; Hardware; Multiaccess
                 communication; Pseudorandom sequence; Random sequences;
                 Signal generators; Synchronization",
}

@InProceedings{Elshafiy:2019:OMR,
  author =       "Ahmed Elshafiy and Mohammed A. El-Motaz and Mohamed E.
                 Farag and Omar A. Nasr and Hossam A. H. Fahmy",
  booktitle =    "{2019 IEEE Wireless Communications and Networking
                 Conference (WCNC)}",
  title =        "On Optimization of Mixed-Radix {FFT}: a Signal
                 Processing Approach",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/WCNC.2019.8885635",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Computer architecture; CORDIC; FFT;
                 Hardware; Mixed-Radix; OFDM; Optimization; Pipelines;
                 Read only memory; SDF",
}

@InProceedings{Fan:2019:ECI,
  author =       "Yu-Cheng Fan and Chiao-An Chu and Yi-Cheng Liu",
  booktitle =    "{2019 IEEE International Conference on Consumer
                 Electronics --- Taiwan (ICCE-TW)}",
  title =        "Efficient {CORDIC} Iteration Design of {LiDAR} Point
                 Cloud Map Reconstruction Technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICCE-TW46550.2019.8991704",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Chip scale packaging; Companies; Consumer electronics;
                 Digital computers; Distance measurement; Laser radar;
                 Point cloud compression",
}

@Article{Fang:2019:GSC,
  author =       "Linlin Fang and Yizhuang Xie and Bingyi Li and He
                 Chen",
  title =        "Generation scheme of chirp scaling phase functions
                 based on floating-point {CORDIC} processor",
  journal =      "The Journal of Engineering",
  volume =       "2019",
  number =       "21",
  pages =        "7436--7439",
  year =         "2019",
  DOI =          "https://doi.org/10.1049/joe.2019.0623",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/joe.2019.0623",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/joe.2019.0623",
  keywords =     "synthetic aperture radar, floating point arithmetic,
                 field programmable gate arrays, radar imaging, logic
                 design, function generators, chirp scaling phase
                 functions, chirp scaling phase function generation
                 scheme, CORDIC algorithm, single precision
                 floating-point CORDIC processor, nonlinear operations,
                 hardware resource reduction scheme, unified CORDIC
                 processor, division operation, Xilinx XC7VX690T FPGA
                 platform, SAR imaging system, CS synthetic aperture
                 radar imaging algorithm, multiplication operation,
                 square root operation",
}

@InProceedings{Gao:2019:DGC,
  author =       "Shaoquan Gao and Hanjun Jiang and Fule Li and Zhihua
                 Wang",
  booktitle =    "{2019 IEEE 62nd International Midwest Symposium on
                 Circuits and Systems (MWSCAS)}",
  title =        "{DCO} gain calibration technique in fractional-N ---
                 {PLL} based two-point phase modulators",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "718--721",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/MWSCAS.2019.8885206",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Calibration; CORDIC; DCO; Frequency measurement;
                 Frequency modulation; gain mismatch; Phase modulation;
                 Transceivers; Transmitters; two-point modulation",
}

@Article{Gao:2019:EEU,
  author =       "Yang Gao and Jian Liu and Zhiwu Zhang",
  title =        "$ \delta $-error elimination using truncation
                 retention method and implementation on {FPGA} for
                 non-redundant {CORDIC}",
  journal =      j-J-PHYS-CONF-SER,
  volume =       "1237",
  number =       "2",
  pages =        "022145",
  month =        jun,
  year =         "2019",
  CODEN =        "JPCSDZ",
  DOI =          "https://doi.org/10.1088/1742-6596/1237/2/022145",
  ISSN =         "1742-6588 (print), 1742-6596 (electronic)",
  ISSN-L =       "1742-6588",
  bibdate =      "Tue Nov 11 13:39:29 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Physics: Conference Series",
  journal-URL =  "http://www.iop.org/EJ/journal/conf",
}

@Article{Gassoumi:2019:EDC,
  author =       "Ismail Gassoumi and Lamjed Touil and Bouraoui Ouni and
                 Abdellatif Mtibaa",
  title =        "An efficient design of {CORDIC} in quantum-dot
                 cellular automata technology",
  journal =      j-INT-J-ELECTRON,
  volume =       "106",
  number =       "12",
  pages =        "2039--2056",
  month =        jul,
  year =         "2019",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2019.1636301",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@InProceedings{Gomar:2019:DPR,
  author =       "Sh. Gomar and M. Ahmadi",
  booktitle =    "{2019 26th IEEE International Conference on
                 Electronics, Circuits and Systems (ICECS)}",
  title =        "A Digital Pseudo Random Number Generator Based on a
                 Chaotic Dynamic System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "610--613",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICECS46596.2019.8964861",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Chaotic communication; Chaotic Pseudo Random
                 Number Generator (CPRNG); Chaotic systems; Circuits;
                 CORDIC algorithm; Costs; Cryptography; Dynamical
                 systems; Field Programmable Gate Array (FPGA); Field
                 programmable gate arrays; Generators; Hardware;
                 Integrated circuit modeling",
}

@InProceedings{Gupta:2019:PTR,
  author =       "Arpit Gupta and Arashdeep Kaur and Malay Kishore Dutta
                 and Ji {\'\i} Schimmel",
  booktitle =    "{2019 42nd International Conference on
                 Telecommunications and Signal Processing (TSP)}",
  title =        "Perceptually Transparent \& Robust Audio Watermarking
                 Algorithm Using Multi Resolution Decomposition \&
                 {CORDIC} {$ Q R $} Decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "313--317",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TSP.2019.8768894",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AWGN; Cordic QR Decomposition; Data Hiding; Digital
                 Watermarking; Information Security; Multi Resolution
                 Decomposition; Payloads; Robustness; Signal processing
                 algorithms; Signal resolution; Signal to noise ratio;
                 Watermarking",
}

@InProceedings{Hao:2019:VID,
  author =       "Liu Hao and Wang Ming-Jiang and Chen Mo-Ran and Liu
                 Ming",
  booktitle =    "{2019 IEEE 4th International Conference on Signal and
                 Image Processing (ICSIP)}",
  title =        "A {VLSI} Implementation of Double Precision
                 Floating-Point Logarithmic Function",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "345--349",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/SIPROCESS.2019.8868367",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Convergence; CORDIC algorithm; Delays; double
                 precision; floating-point number; Hardware; logarithmic
                 function; Parallel processing; Prediction algorithms;
                 Very large scale integration; VLSI",
}

@Article{Heidarpur:2019:CSF,
  author =       "Moslem Heidarpur and Arash Ahmadi and Majid Ahmadi and
                 Mostafa Rahimi Azghadi",
  title =        "{CORDIC-SNN}: On-{FPGA} {STDP} Learning With
                 {Izhikevich} Neurons",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "66",
  number =       "7",
  pages =        "2651--2661",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSI.2019.2899356",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "biological neuron model; Biological system modeling;
                 Computational modeling; CORDIC; digital implementation;
                 Field programmable gate arrays; FPGA; Hardware;
                 Izhikevich neuron; neuromorphic; Neuromorphics;
                 Neurons; on-FPGA; online; spiking neural network;
                 STDP",
  xxnote =       "Check author: Heidarpour or Heidarpur??",
}

@Article{Hoang:2019:LPF,
  author =       "Trong-Thuc Hoang and Xuan-Thuan Nguyen and Duc-Hung Le
                 and Cong-Kha Pham",
  title =        "Low-Power Floating-Point Adaptive-{CORDIC}-based {FFT}
                 Twiddle Factor on 65-nm {Silicon-on-Thin-BOX} ({SOTB})
                 With Back-Gate Bias",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "66",
  number =       "10",
  pages =        "1723--1727",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSII.2019.2928138",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Back-gate bias; Circuits and systems; CORDIC; Delays;
                 Energy consumption; floating-point; Frequency
                 measurement; low-power; Memory management; SOTB; Table
                 lookup; twiddle factor",
}

@InProceedings{Hou:2019:LLF,
  author =       "Nanxin Hou and Mingjiang Wang and Xiafeng Zou and Ming
                 Liu",
  booktitle =    "{2019 IEEE 4th International Conference on Signal and
                 Image Processing (ICSIP)}",
  title =        "A Low Latency Floating Point {CORDIC} Algorithm for
                 Sin\slash Cosine Function",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "751--755",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/SIPROCESS.2019.8868623",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits and systems; Conferences; CORDIC; Digital
                 arithmetic; Digital signal processing;
                 double-precision; floating-point; IEEE transactions;
                 Image processing; low latency; Manganese; sin/cosine",
}

@InProceedings{Inguva:2019:FBI,
  author =       "Sharath Chandra Inguva and J. B. Seventline",
  booktitle =    "{2019 International Conference on Intelligent
                 Sustainable Systems (ICISS)}",
  title =        "{FPGA} based Implementation of Low power {CORDIC}
                 architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "389--395",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ISS1.2019.8907946",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Canonical signed digit Technique; Computer
                 architecture; Conferences; CORDIC; Field programmable
                 gate arrays; FPGA; Hardware; Hcub Algorithm; High
                 Speed; low area; Low power; Mathematical model;
                 Quantization (signal)",
}

@InProceedings{Jaquenod:2019:ICA,
  author =       "Guillermo A. Jaquenod",
  booktitle =    "{2019 Argentine Conference on Electronics (CAE)}",
  title =        "Improved {CORDIC} Angle Computation for {RF}
                 Applications Using an Autorange Circuit",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "6--8",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/CAE.2019.8709293",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Field programmable gate arrays; fixed point
                 arithmetic; Fixed-point arithmetic; FPGA; Hardware;
                 hardware optimization; Multiplexing; radio
                 communications; Registers; Signal to noise ratio;
                 Standards",
}

@Article{Jing:2019:NOM,
  author =       "Zhang Jing",
  title =        "No-overflowing Magnification Scheme in Redundant
                 {CORDIC} algorithm and its implementation in {FPGA}",
  journal =      j-J-PHYS-CONF-SER,
  volume =       "1345",
  number =       "4",
  pages =        "042050",
  month =        nov,
  year =         "2019",
  CODEN =        "JPCSDZ",
  DOI =          "https://doi.org/10.1088/1742-6596/1345/4/042050",
  ISSN =         "1742-6588 (print), 1742-6596 (electronic)",
  ISSN-L =       "1742-6588",
  bibdate =      "Tue Nov 11 13:41:26 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Physics: Conference Series",
  journal-URL =  "http://www.iop.org/EJ/journal/conf",
}

@InBook{Jyothi:2019:AIF,
  author =       "Grande Naga Jyothi and Kundu Debanjan and Gorantla
                 Anusha",
  booktitle =    "Soft Computing for Problem Solving",
  title =        "{ASIC} Implementation of Fixed-Point Iterative,
                 Parallel, and Pipeline {CORDIC} Algorithm",
  publisher =    "Springer Singapore",
  pages =        "341--351",
  month =        nov,
  year =         "2019",
  DOI =          "https://doi.org/10.1007/978-981-15-0035-0_27",
  ISBN =         "981-15-0035-5",
  ISBN-13 =      "978-981-15-0035-0",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Kulshreshtha:2019:IVA,
  author =       "Tanmai Kulshreshtha and Anindya Sundar Dhar",
  title =        "Improved {VLSI} architecture for triangular windowed
                 sliding {DFT} based on {CORDIC} algorithm",
  journal =      "IET Circuits, Devices \& Systems",
  volume =       "13",
  number =       "2",
  pages =        "251--258",
  year =         "2019",
  DOI =          "https://doi.org/10.1049/iet-cds.2018.5110",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/iet-cds.2018.5110",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/iet-cds.2018.5110",
  keywords =     "(DFT) bins; application specific integrated circuits;
                 computation proceeds; COordinate rotation DIgital
                 computer algorithm; CORDIC algorithm; correct outputs;
                 digital arithmetic; direct cascading; discrete Fourier;
                 discrete Fourier transforms; field programmable gate
                 arrays; final output; generalised architecture;
                 hardware description languages; high-throughput rate;
                 odd bins; SDFT modules; signal processing; triangular
                 windowed; very-large-scale integration architecture;
                 VLSI; VLSI architecture",
}

@InProceedings{Kumar:2019:FIT,
  author =       "Puli Anil Kumar",
  booktitle =    "{2019 5th International Conference on Advanced
                 Computing \& Communication Systems (ICACCS)}",
  title =        "{FPGA} Implementation of the Trigonometric Functions
                 Using the {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "894--900",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICACCS.2019.8728315",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ArcTangent; Communication systems; Computational
                 modeling; CORDIC; Exponential; Field programmable gate
                 arrays; Hardware; Logarithm; Polar to Rectangular
                 conversion; Signal processing algorithms; Table lookup;
                 Trigonometric function",
}

@Article{Li:2019:DIS,
  author =       "Ching-Iang Li and Gwo-Dong Chen and Tze-Yun Sung and
                 Huai-Fang Tsai",
  title =        "Design and Implementation of Smart Multi-Touch
                 Interface Using Special Purpose {CORDIC} Processor",
  journal =      j-IEEE-TRANS-CONSUMER-ELECTRONICS,
  volume =       "65",
  number =       "4",
  pages =        "516--525",
  year =         "2019",
  CODEN =        "ITCEDA",
  DOI =          "https://doi.org/10.1109/TCE.2019.2932465",
  ISSN =         "0098-3063 (print), 1558-4127 (electronic)",
  ISSN-L =       "0098-3063",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Consumer Electronics",
  keywords =     "Calibration; Cameras; Computer architecture; Consumer
                 electronics; CORDIC; Graphical user interfaces;
                 homography; multi-touch interface; Tracking;
                 Trajectory; trajectory estimation",
}

@Article{Liu:2019:HFL,
  author =       "Shaohan Liu and Dake Liu",
  title =        "A High-Flexible Low-Latency Memory-Based {FFT}
                 Processor for {4G}, {WLAN}, and Future {5G}",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "27",
  number =       "3",
  pages =        "511--523",
  year =         "2019",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2018.2879675",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "5G mobile communication; Application-specific
                 instruction-set processor designer; Clocks; coordinate
                 rotation digital computer (CORDIC); domain-specific
                 architecture (DSA); fast Fourier transform (FFT);
                 Hardware; Memory architecture; orthogonal
                 frequency-division multiplexing (OFDM); Throughput;
                 Wireless LAN",
}

@Article{Liu:2019:LCM,
  author =       "Tsung-Hsien Liu and You-Zhi Ye and Chen-Kai Huang and
                 Chiao-En Chen and Yin-Tsung Hwang and Yuan-Sun Chu",
  title =        "A Low-Complexity Maximum Likelihood Detector for the
                 Spatially Modulated Signals: Algorithm and Hardware
                 Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "66",
  number =       "11",
  pages =        "1820--1824",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSII.2019.2896350",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Complexity theory; Computer architecture; CORDIC
                 module; Givens rotation; Hardware; Indexes; maximum
                 likelihood detection; Payloads; QR-decomposition;
                 Receiving antennas; Spatial modulation; Transmitting
                 antennas",
}

@Article{Luo:2019:CGH,
  author =       "Yuanyong Luo and Yuxuan Wang and Yajun Ha and
                 Zhongfeng Wang and Siyuan Chen and Hongbing Pan",
  title =        "Corrections to {Generalized Hyperbolic CORDIC and Its
                 Logarithmic and Exponential Computation With Arbitrary
                 Fixed Base [Sep 19 DOI: 10.1109/TVLSI.2019.2919557]}",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "27",
  number =       "9",
  pages =        "2222--2222",
  year =         "2019",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2019.2932174",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See \cite{Luo:2019:GHC}.",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Approximation methods; Digital computers; Iterative
                 methods; Power demand",
}

@Article{Luo:2019:GHC,
  author =       "Yuanyong Luo and Yuxuan Wang and Yajun Ha and
                 Zhongfeng Wang and Siyuan Chen and Hongbing Pan",
  title =        "Generalized Hyperbolic {CORDIC} and Its Logarithmic
                 and Exponential Computation With Arbitrary Fixed Base",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "27",
  number =       "9",
  pages =        "2156--2169",
  year =         "2019",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2019.2919557",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  note =         "See corrections \cite{Luo:2019:CGH}.",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Approximation methods; Architecture; Digital
                 computers; exponential; generalized hyperbolic
                 COordinate Rotation Digital Computer (GH CORDIC);
                 Hardware; hyperbolic CORDIC; Iterative methods;
                 logarithm; Matlab; Power demand; Very large scale
                 integration",
}

@InProceedings{Ma:2019:LCD,
  author =       "Shang Ma and Xuesi Wang and Yongjie Li and Kai Long
                 and Bixin Zhu and Xin Lei",
  booktitle =    "{2019 IEEE 13th International Conference on ASIC
                 (ASICON)}",
  title =        "A Low Complexity {DDS} Based On Optimized {CORDIC}
                 Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ASICON47005.2019.8983676",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "6G mobile communication; Adders; Approximation
                 algorithms; Complexity theory; Delays; Frequency
                 synthesizers; Hardware; Libraries; Power demand",
}

@Article{Mahdavi:2019:ATP,
  author =       "Hossein Mahdavi and Somayeh Timarchi",
  title =        "Area Time Power Efficient {FFT} Architectures Based on
                 Binary-Signed-Digit {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "66",
  number =       "10",
  pages =        "3874--3881",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSI.2019.2922988",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  MRclass =      "94A12 (65T50)",
  MRnumber =     "4022484",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1468.94181",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems. I. Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "94A12,65T50; binary signed-digit number system;
                 CORDIC; Discrete Fourier transforms; Encoding; FFT;
                 Hardware; Image coding; posibit-negabit encoding; Power
                 demand; Table lookup",
  ZBmath =       "7385613",
}

@InProceedings{Manupotisreenivasulu:2019:EMB,
  author =       "Manupotisreenivasulu and T. Meenpal",
  booktitle =    "{2019 IEEE International Conference on Electrical,
                 Computer and Communication Technologies (ICECCT)}",
  title =        "Efficient {MUX} Based {CORDIC} on {FPGA} for Signal
                 Processing Application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICECCT.2019.8869306",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC algorithm; Digital computers; Field
                 programmable gate arrays; Field-programmable gate
                 arrays ( FPGAs); Hardware; multiplexer; Multiplexing;
                 Pipeline processing; pipelining; ration mode; Signal
                 processing algorithms",
}

@Article{Mopuri:2019:CRB,
  author =       "Suresh Mopuri and Swati Bhardwaj and Amit Acharyya",
  title =        "Coordinate Rotation-Based Design Methodology for
                 Square Root and Division Computation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "66",
  number =       "7",
  pages =        "1227--1231",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSII.2018.2878599",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Circuits and systems; Computer architecture; CORDIC;
                 Covariance matrices; Design methodology; division;
                 Hardware; Matrix decomposition; Monitoring; Square
                 root",
}

@Article{Mopuri:2019:CRM,
  author =       "Suresh Mopuri and Amit Acharyya",
  title =        "Configurable Rotation Matrix of Hyperbolic {CORDIC}
                 for Any Logarithm and Its Inverse computation",
  journal =      j-CSSP,
  volume =       "39",
  number =       "5",
  pages =        "2551--2573",
  month =        sep,
  year =         "2019",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-019-01277-w",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@Article{Mopuri:2019:LCG,
  author =       "Suresh Mopuri and Amit Acharyya",
  title =        "Low Complexity Generic {VLSI} Architecture Design
                 Methodology for {$ N^{\rm th} $} Root and {$ N^{\rm th}
                 $} Power Computations",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "66",
  number =       "12",
  pages =        "4673--4686",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSI.2019.2939720",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Art; Complexity theory; Computer architecture; CORDIC;
                 exponential; Hardware; hyperbolic CORDIC; Indexes;
                 logarithm; power computation; root computation; Very
                 large scale integration; VLSI architecture",
}

@Article{Moradi:2019:SEI,
  author =       "Hamed Moradi and Fahimeh Hosseinibalam and Smaeyl
                 Hassanzadeh",
  title =        "Simulation and experimental investigation about
                 interferometric optical fiber acoustic sensor for
                 sensitivity enhancement",
  journal =      j-MEASUREMENT,
  volume =       "137",
  pages =        "556--561",
  year =         "2019",
  DOI =          "https://doi.org/10.1016/j.measurement.2019.01.073",
  ISSN =         "0263-2241 (print), 1873-412x (electronic)",
  ISSN-L =       "0263-2241",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0263224119300855",
  acknowledgement = ack-nhfb,
  fjournal =     "Measurement: Journal of the International Measurement
                 Confederation",
  keywords =     "Demodulation; Interferometry; Optical fiber acoustic
                 sensor; Reflected power; {CORDIC} algorithm",
}

@InBook{Navalgund:2019:CBD,
  author =       "Anish K. Navalgund and V. Akshara and Ravali Jadhav
                 and Shashank Shankar and S. Sandya",
  booktitle =    "Emerging Research in Computing, Information,
                 Communication and Applications",
  title =        "Comparison Between the {DDFS} Implementation Using the
                 Look-up Table Method and the {CORDIC} Method",
  publisher =    "Springer Singapore",
  pages =        "601--607",
  year =         "2019",
  DOI =          "https://doi.org/10.1007/978-981-13-6001-5_50",
  ISBN =         "981-13-6001-4",
  ISBN-13 =      "978-981-13-6001-5",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Osta:2019:FIA,
  author =       "Mario Osta and Ali Ibrahim and Maurizio Valle",
  booktitle =    "{2019 26th IEEE International Conference on
                 Electronics, Circuits and Systems (ICECS)}",
  title =        "{FPGA} Implementation of Approximate {CORDIC} Circuits
                 for Energy Efficient Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "127--128",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICECS46596.2019.8964758",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC; Digital computers; Electronic
                 circuits; Energy efficiency; Energy Efficient
                 techniques; Field programmable gate arrays; Low Power
                 circuits; Machine learning; Machine learning
                 algorithms; Power demand; Real-time systems; Tuning",
}

@InProceedings{Raditya:2019:DSS,
  author =       "Murry Raditya and Purwadi Agus Darwito and Arviandi
                 Cikadiarta and Halimatus Sadiyah and Aditya Wimansyah
                 and Effran Rajagukguk",
  booktitle =    "{2019 International Conference on Advanced
                 Mechatronics, Intelligent Manufacture and Industrial
                 Automation (ICAMIMIA)}",
  title =        "Design of Sinusoidal Signal Generator Using Pipelined
                 {CORDIC} Architecture Based on {Altera Cyclone II
                 FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "105--108",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICAMIMIA47173.2019.9223410",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Clocks; Computer
                 architecture; CORDIC algorithm; Cyclones; Field
                 programmable gate arrays; FPGA; Intel Altera; Pipelined
                 architecture; Registers; Signal generators; Signal
                 processing algorithms; Table lookup; Time-frequency
                 analysis",
}

@InBook{Raut:2019:ELP,
  author =       "Gopal Raut and Vishal Bhartiy and Gunjan Rajput and
                 Sajid Khan and Ankur Beohar and Santosh Kumar
                 Vishvakarma",
  booktitle =    "{VLSI} Design and Test",
  title =        "Efficient Low-Precision {CORDIC} Algorithm for
                 Hardware Implementation of Artificial Neural Network",
  publisher =    "Springer Singapore",
  pages =        "321--333",
  year =         "2019",
  DOI =          "https://doi.org/10.1007/978-981-32-9767-8_28",
  ISBN =         "981-329-767-0",
  ISBN-13 =      "978-981-329-767-8",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Saalfeld:2019:CBD,
  author =       "Tobias Saalfeld and Tobias Piwczyk and Ralf Wunderlich
                 and Stefan Heinen",
  booktitle =    "{2019 Kleinheubach Conference}",
  title =        "A {CORDIC}-based Demodulator for Digital Baseband
                 Processing in Multi-Standard Receivers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2019",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/8890171/",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; Clocks; Demodulation; Finite impulse
                 response filters; Frequency shift keying; Passband",
}

@InProceedings{Shiri:2019:FIS,
  author =       "Aidin Shiri and Ghader Karimian Khosroshahi",
  booktitle =    "{2019 27th Iranian Conference on Electrical
                 Engineering (ICEE)}",
  title =        "An {FPGA} Implementation of Singular Value
                 Decomposition",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "416--422",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/IranianCEE.2019.8786719",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC Algorithm; Field programmable gate arrays;
                 FPGA; Hardware; Hardware Implementation; Jacobian
                 matrices; Matrix decomposition; Parallel processing;
                 Real-time systems; Singular Value Decomposition;
                 Software algorithms",
}

@InProceedings{Sokolovskiy:2019:PDH,
  author =       "A. V. Sokolovskiy and V. N. Tyapkin and E. A. Veisov
                 and Yu.L. Fateev",
  booktitle =    "{2019 International Siberian Conference on Control and
                 Communications (SIBCON)}",
  title =        "The Pipelined {$ Q R $} Decomposition Hardware
                 Architecture Based On {Givens} Rotation {CORDIC}
                 Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/SIBCON.2019.8729615",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; adders architecture; Computer architecture;
                 CORDIC; Delays; FPGA; Givens rotation; Hardware;
                 hardware algorithm; Mathematical model; Matrix
                 decomposition; pipelined architecture; QR
                 decomposition; Signal processing algorithms",
  xxnote =       "Check: Sokolovskii or Sokolovskiy??",
}

@InProceedings{Steins:2019:DDE,
  author =       "Manuel Steins and Simon M{\"u}ller and Andreas R.
                 Diewald",
  booktitle =    "{2019 23rd International Conference on Applied
                 Electromagnetics and Communications (ICECOM)}",
  title =        "Digital {Doppler} Effect Generation with {CORDIC}
                 Algorithm for Radar Target Simulations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICECOM48045.2019.9163654",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Baseband; CORDIC; Delays; Doppler effect; Doppler
                 radar; FPGA; Mathematical model; Mixers; radar; radar
                 target simulator; VHDL; Xilinx",
}

@InProceedings{Su:2019:BDC,
  author =       "Yali Su and Zejie Kuang and Guohe Zhang and Li Sun",
  booktitle =    "{2019 IEEE International Conference of Intelligent
                 Applied Systems on Engineering (ICIASE)}",
  title =        "A 14-bit {DDS} Circuit Design Based on {CORDIC}
                 Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "321--323",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICIASE45644.2019.9074119",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Conferences; CORDIC algorithm; Delays; Direct digital
                 synthesis; Field programmable gate arrays; Frequency
                 synthesizers; Hardware; high-precision; Logic gates;
                 Read only memory",
}

@InProceedings{Tiwari:2019:VPB,
  author =       "Honey Durga Tiwari",
  booktitle =    "{2019 5th International Conference on Advanced
                 Computing \& Communication Systems (ICACCS)}",
  title =        "{Vedic} processor based trigonometric calculations
                 using power series",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "118--123",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/ICACCS.2019.8728430",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array Multiplier; Communication systems; Computer
                 architecture; CORDIC; Cube circuit; Field programmable
                 gate arrays; Hardware; Logic gates; Mathematics;
                 Multiplier; Power demand; Square Architecture;
                 trigonometric functions; Vedic Mathematics",
}

@Article{Vachhani:2019:CSN,
  author =       "Leena Vachhani",
  title =        "{CORDIC} as a Switched Nonlinear System",
  journal =      j-CSSP,
  volume =       "39",
  number =       "6",
  pages =        "3234--3249",
  month =        nov,
  year =         "2019",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-019-01295-8",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InBook{Venkatesh:2019:EHS,
  author =       "Vutukuri Venkatesh and Balaji Yeswanth and Repala
                 Akhil and Ravi Kumar Jatoth",
  booktitle =    "Advances in {VLSI}, Communication, and Signal
                 Processing",
  title =        "An Efficient High-Speed {CORDIC} Algorithm Using
                 Parallel-Prefix Adders {(PPA)}",
  publisher =    "Springer Singapore",
  pages =        "805--813",
  month =        dec,
  year =         "2019",
  DOI =          "https://doi.org/10.1007/978-981-32-9775-3_73",
  ISBN =         "981-329-775-1",
  ISBN-13 =      "978-981-329-775-3",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Vyas:2019:HEI,
  author =       "Pranjal Vyas and Leena Vachhani and K. Sridharan",
  title =        "Hardware-efficient interval analysis based collision
                 detection and avoidance for mobile robots",
  journal =      "Mechatronics",
  volume =       "62",
  pages =        "102258",
  year =         "2019",
  DOI =          "https://doi.org/10.1016/j.mechatronics.2019.102258",
  ISSN =         "0957-4158",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0957415819300911",
  acknowledgement = ack-nhfb,
  keywords =     "Collision avoidance; Collision detection; Coordinate
                 Rotation Digital Computer (CORDIC); Dynamic obstacle;
                 Field Programmable Gate Array (FPGA); Interval
                 analysis; Robotics",
}

@InProceedings{Wadkar:2019:LLS,
  author =       "Sumit Satyavijay Wadkar and Bishnu Prasad Das and
                 Pramod Kumar Meher",
  booktitle =    "{2019 IEEE International Symposium on Smart Electronic
                 Systems (iSES) (Formerly iNiS)}",
  title =        "Low Latency Scaling-Free Pipeline {CORDIC}
                 Architecture Using Augmented {Taylor} Series",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "312--315",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/iSES47678.2019.00077",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Approximation algorithms; Computer
                 architecture; Function approximation; Hardware;
                 Pipelines; Scaling free CORDIC, augmented Taylor series
                 approximation, pipeline architecture, FPGA; Taylor
                 series",
}

@Article{Weissbrich:2019:FRC,
  author =       "M. Wei{\ss}brich and L. Gerlach and H. Blume and A.
                 Najafi and A. Garc{\'\i}a-Ortiz and G.
                 Pay{\'a}-Vay{\'a}",
  title =        "{FLINT+}: a runtime-configurable emulation-based
                 stochastic timing analysis framework",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "69",
  pages =        "120--137",
  year =         "2019",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/j.vlsi.2019.01.002",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0167926017307794",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "CORDIC; FPGA; Timing analysis; Timing behavior
                 emulation",
}

@Article{Wu:2019:SPB,
  author =       "Chun-Hun Wu and Pei-Yun Tsai",
  title =        "An {SVD} Processor Based on {Golub Reinsch} Algorithm
                 for {MIMO} Precoding With Adjustable Precision",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "66",
  number =       "7",
  pages =        "2572--2583",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/TCSI.2019.2899211",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/golub-gene-h.bib;
                 https://www.math.utah.edu/pub/bibnet/authors/r/reinsch-christian-h.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "bidiagonalization; CORDIC; Degradation; Golub-Reinsch
                 SVD; Interference; Jacobian matrices; Matrix
                 decomposition; MIMO communication; MIMO precoding;
                 Precoding; Signal to noise ratio; SVD",
}

@InProceedings{Xu:2019:FCS,
  author =       "Qunwei Xu and Zhun Hu and Jun Wu and Wentao Lv and
                 Hongyang Huang",
  booktitle =    "2019 22nd International Conference on Electrical
                 Machines and Systems {(ICEMS)}",
  title =        "Fast Control Strategy of {APF} Based on Improved {DFT}
                 Algorithm and Repetitive Control with Multiple Control
                 Frequency in Synchronous Rotation Coordinates",
  publisher =    "IEEE",
  pages =        "1--6",
  month =        aug,
  year =         "2019",
  DOI =          "https://doi.org/10.1109/icems.2019.8922157",
  bibdate =      "Wed Nov 12 08:09:32 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithms",
}

@InProceedings{Xue:2019:DIE,
  author =       "Yuan Xue and Zhongsong Ma",
  booktitle =    "{2019 IEEE 4th International Conference on Signal and
                 Image Processing (ICSIP)}",
  title =        "Design and Implementation of an Efficient Modified
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "480--484",
  year =         "2019",
  DOI =          "https://doi.org/10.1109/SIPROCESS.2019.8868732",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Convergence; CORDIC algorithm;
                 Field programmable gate arrays; FPGA; Hardware;
                 Mathematical model; Scaling-Free; Signal processing
                 algorithms; Upper bound",
}

@Article{Zhu:2019:DPS,
  author =       "Weibin Zhu and Shengjin Ye and Yao Huang and Zi Xue",
  title =        "Design of a precise subdivision system for gratings
                 using a modified {CORDIC} algorithm",
  journal =      "IET Circuits, Devices \& Systems",
  volume =       "13",
  number =       "8",
  pages =        "1284--1291",
  year =         "2019",
  DOI =          "https://doi.org/10.1049/iet-cds.2019.0150",
  bibdate =      "Wed Oct 29 15:08:31 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1049/iet-cds.2019.0150",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1049/iet-cds.2019.0150",
  keywords =     "amplitude deviation; angle-measurement accuracy;
                 angle-measuring device; angular measurement; arccosine
                 functions; circular grating; digital arithmetic;
                 digital subdivision; direct current offset deviation;
                 double-rotation iteration; dynamic response; error
                 compensation; field programmable gate arrays;
                 field-programmable gate array chip; function generator;
                 function generators; grating lines; grating Moircg{\'e}
                 signal; ideal subdivision effect; light
                 interferometers; measurement error; measurement errors;
                 MicroE system; modified CORDIC algorithm; Moir{\'e}
                 signals; nonlinear subdivision; precise subdivision
                 system design; robust linearisation method; rotation
                 digital computer algorithm; sinusoidal signal; stable
                 signals",
}

@Article{Zou:2019:ARH,
  author =       "Xiafeng Zou and Mingjiang Wang",
  title =        "Algorithm Research and Hardware Implementation of High
                 Precision Floating Point Exponential Function",
  journal =      j-J-PHYS-CONF-SER,
  volume =       "1345",
  number =       "4",
  pages =        "042085",
  month =        nov,
  year =         "2019",
  CODEN =        "JPCSDZ",
  DOI =          "https://doi.org/10.1088/1742-6596/1345/4/042085",
  ISSN =         "1742-6588 (print), 1742-6596 (electronic)",
  ISSN-L =       "1742-6588",
  bibdate =      "Tue Nov 11 13:46:40 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Physics: Conference Series",
  journal-URL =  "http://www.iop.org/EJ/journal/conf",
  keywords =     "CORD vector mode; exp(); IEEE 754 64-bit
                 floating-point",
}

@InProceedings{Akhil:2020:DAA,
  author =       "Repala Akhil and Jithendreswar Rao Koleti and
                 Adusumilli Vijaya Bhaskar and Volladam Sathish and
                 Bolepalli Arjun Goud",
  booktitle =    "{2020 IEEE International Conference on Electronics,
                 Computing and Communication Technologies (CONECCT)}",
  title =        "Delay and Area analysis of hardware implementation of
                 {FFT} using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/CONECCT50063.2020.9198617",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; CORDIC algorithm; Delays; Discrete Fourier
                 transforms; Field programmable gate arrays; floating
                 point multiplier; Hardware; Kogge--Stone adder(KSA);
                 Mathematical model; Propagation delay; ripple carry
                 adder(RCA); Single precision floating point multiplier;
                 Vedic multiplier",
}

@InProceedings{Anju:2020:PDP,
  author =       "P. Anju and A. A. Bazil Raj and Chandra Shekhar",
  booktitle =    "{2020 4th International Conference on Intelligent
                 Computing and Control Systems (ICICCS)}",
  title =        "Pulse {Doppler} Processing --- a Novel Digital
                 Technique",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1089--1095",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICICCS48265.2020.9120950",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; DDS design; Doppler effect; Doppler frequency;
                 Doppler radar; Estimation; Field programmable gate
                 arrays; FPGA; Frequency estimation; Hardware; I/Q
                 signals; MATLAB; pulse radar; Radar signal processing;
                 Signal processing algorithms; Time-frequency analysis",
}

@InProceedings{Annafianto:2020:FIL,
  author =       "N. Fajar R. Annafianto and M. V. Jabir and I. A.
                 Burenkov and H. F. Ugurdag and A. Battou and S. V.
                 Polyakov",
  booktitle =    "{2020 IEEE East-West Design \& Test Symposium
                 (EWDTS)}",
  title =        "{FPGA} Implementation of a Low Latency and High {SFDR}
                 Direct Digital Synthesizer for Resource-Efficient
                 Quantum-Enhanced Communication",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/EWDTS50664.2020.9225029",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Convergence; CORDIC; DDS; Field programmable gate
                 arrays; FPGA; Frequency shift keying; Hardware;
                 latency; Mathematical model; Memory management;
                 pipeline; SFDR; Table lookup",
}

@Article{Aranda:2020:RHD,
  author =       "Luis Alberto Aranda and Francisco Garcia-Herrero and
                 Luis Esteban and Alfonso S{\'a}nchez-Maci{\'a}n and
                 Juan Antonio Maestro",
  title =        "Radiation Hardened Digital Direct Synthesizer With
                 {CORDIC} for Spaceborne Applications",
  journal =      j-IEEE-ACCESS,
  volume =       "8",
  pages =        "83167--83176xo",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ACCESS.2020.2991882",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "CORDIC; digital signal processing; dual modular
                 redundancy; fault tolerance; Field programmable gate
                 arrays; Hardware; Parallel architectures; radiation;
                 Radiation hardening (electronics); Signal processing
                 algorithms; Single event upsets; Synthesizers",
}

@Article{Banerjee:2020:NPC,
  author =       "Ayan Banerjee and Anindya Sundar Dhar",
  title =        "A Novel Paradigm of {CORDIC}-Based {FFT} Architecture
                 Framed on the Optimality of High-Radix Computation",
  journal =      j-CSSP,
  volume =       "40",
  number =       "1",
  pages =        "311--334",
  month =        jun,
  year =         "2020",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-020-01472-0",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@Article{Bose:2020:FAE,
  author =       "Sudipta Bose and Arijit De and Indrajit Chakrabarti",
  title =        "Framework for Automated Earthquake Event Detection
                 Based on Denoising by Adaptive Filter",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "67",
  number =       "9",
  pages =        "3070--3083",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/TCSI.2020.2984960",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computer architecture; Coordinate rotational digital
                 computer (CORDIC); earthquake early warning system
                 (EEWS); Earthquakes; Event detection; FPGA and ASIC
                 design; half-unit biased (HUB) format; Real-time
                 systems; short-time-average/long-time-average (STA/LTA)
                 algorithm; Signal processing algorithms; Steady-state;
                 Very large scale integration",
}

@InProceedings{Cao:2020:CBA,
  author =       "Yongxiang Cao and Wan'ang Xiao and Jingdun Jia",
  booktitle =    "{2020 International Conference on High Performance Big
                 Data and Intelligent Systems ({HPBD\&IS})}",
  title =        "A {CORDIC}-based Acceleration Method on {FPGA} for
                 {CNN} Normalization Layer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/HPBDIS49115.2020.9130596",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration Method; Convolution; Cordic; Field
                 programmable gate arrays; FPGA; IP networks;
                 Normalization; Quantization (signal)",
}

@InProceedings{Cao:2020:CBS,
  author =       "Yongxiang Cao and Wan'ang Xiao and Jingdun Jia and
                 Dehua Wu and Weixin Zhou",
  booktitle =    "{2020 IEEE International Conference on Artificial
                 Intelligence and Information Systems (ICAIIS)}",
  title =        "Cordic-based Softmax Acceleration Method of
                 Convolution Neural Network on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "66--70",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICAIIS49377.2020.9194894",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acceleration; acceleration method; Agriculture;
                 Convergence; Cordic; Electrical engineering; Field
                 programmable gate arrays; Fitting; FPGA; Softmax;
                 Standardization",
}

@InProceedings{Chakraborty:2020:NVA,
  author =       "Anirban Chakraborty and Ayan Banerjee",
  booktitle =    "{2020 Sixth International Conference on Bio Signals,
                 Images, and Instrumentation (ICBSII)}",
  title =        "A Novel {VLSI} Architecture of {CORDIC} Based Image
                 Registration",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICBSII49132.2020.9167539",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Computer architecture;
                 CORDIC; FPGA; Hardware; Image registration; Pipelining;
                 Real-time systems; TME; Two dimensional displays; Very
                 large scale integration; VLSI architecture",
}

@Article{Changela:2020:FIH,
  author =       "Ankur Changela and Mazad Zaveri and Deepak Verma",
  title =        "{FPGA} implementation of high-performance,
                 resource-efficient Radix-16 {CORDIC} rotator based
                 {FFT} algorithm",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "73",
  pages =        "89--100",
  year =         "2020",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/j.vlsi.2020.03.008",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S016792601930570X",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Canonical signed digit (CSD); CORDIC algorithm;
                 Double-path delay commutator (DDC); FFT; FPGA;
                 Redundant arithmetic",
}

@InProceedings{Chen:2020:CBA,
  author =       "Hui Chen and Lin Jiang and Yuanyong Luo and Zhonghai
                 Lu and Yuxiang Fu and Li Li and Zongguang Yu",
  booktitle =    "{2020 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A {CORDIC}-based Architecture with Adjustable
                 Precision and Flexible Scalability to Implement Sigmoid
                 and Tanh Functions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ISCAS45731.2020.9180864",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "adjustable precision; CMOS technology; Computer
                 architecture; CORDIC; flexible scalability; Frequency
                 synthesizers; Matlab; Scalability; Semiconductor device
                 modeling; sigmoid; Software; tanh",
}

@Article{Chen:2020:EMP,
  author =       "Lirui Chen and Zuocheng Xing and Yongzhong Li and
                 Shikai Qiu",
  title =        "Efficient {MIMO} Preprocessor With Sorting-Relaxed {$
                 Q R $} Decomposition and Modified Greedy {LLL}
                 Algorithm",
  journal =      j-IEEE-ACCESS,
  volume =       "8",
  pages =        "54085--54099",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ACCESS.2020.2980922",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Complexity theory; CORDIC; Detectors; greedy LLL;
                 Hardware; lattice reduction; LLL; Matrix decomposition;
                 MIMO; MIMO communication; Sorting; SQRD; VLSI",
}

@Article{Chen:2020:HCB,
  author =       "Hui Chen and Kaifeng Cheng and Zhonghai Lu and Yuxiang
                 Fu and Li Li",
  title =        "Hyperbolic {CORDIC}-based Architecture for Computing
                 Logarithm and Its Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "67",
  number =       "11",
  pages =        "2652--2656",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/TCSII.2020.2971974",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "CMOS technology; Computational modeling; Computer
                 architecture; Convergence; Hardware; Hyperbolic CORDIC;
                 logarithm; pipelined structure; shift-add operations;
                 Software",
}

@InProceedings{Chen:2020:IMC,
  author =       "Wenjun Chen and Tianya Wu and Wangwang Tang and Kai
                 Jin and Guangming Huang",
  booktitle =    "{2020 IEEE 3rd International Conference on Electronics
                 Technology (ICET)}",
  title =        "Implementation Method of {CORDIC} Algorithm to Improve
                 {DDFS} Performance",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "58--62",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICET49382.2020.9119621",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "binary to bipolar recoding; COORDINATE Rotation
                 Digital Computer(CORDIC); Delays; direct digital
                 frequency synthesizer (DDFS); Dynamic range; field
                 programmable gate array(FPGA); Frequency synthesizers;
                 Heuristic algorithms; Logic gates; Merging; Parallel
                 processing; phase accumulator",
}

@Article{Chen:2020:VII,
  author =       "Yuan-Ho Chen and Szi-Wen Chen and Min-Xian Wei",
  title =        "A {VLSI} Implementation of Independent Component
                 Analysis for Biomedical Signal Separation Using
                 {CORDIC} Engine",
  journal =      "IEEE Transactions on Biomedical Circuits and Systems",
  volume =       "14",
  number =       "2",
  pages =        "373--381",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/TBCAS.2020.2974049",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Application-specific integrated circuit (ASIC);
                 Biomedical Signal Separation; Clocks; CMOS technology;
                 Computer architecture; Coordinate rotation digital
                 computer (CORDIC); Hardware; Independent component
                 analysis (ICA); Logic gates; Signal processing
                 algorithms; Very large scale integration; Very large
                 scale integration (VLSI)",
}

@InProceedings{Datta:2020:FBD,
  author =       "Debarshi Datta and Partha Mitra and Himadri Sekhar
                 Dutta",
  booktitle =    "{2020 IEEE VLSI Device Circuit and System (VLSI
                 DCS)}",
  title =        "{FPGA}-based Digital Down Converter for {GSM}
                 Application",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "299--299",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/VLSIDCS47293.2020.9179939",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cascaded integrated comb (CIC); Computer architecture;
                 Coordinate Rotation Digital Computer (CORDIC); Digital
                 down converter (DDC); Field Programmable Gate Array
                 (FPGA); Field programmable gate arrays; Finite impulse
                 response filters; GSM; Half-band (HB); Hardware; Low
                 pass filters; Matched filters; Systolic Symmetric
                 Finite Impulse Response (SSFIR)",
}

@InProceedings{Dutt:2020:HSL,
  author =       "Rashi Dutt and Amit Acharyya",
  booktitle =    "{2020 European Conference on Circuit Theory and Design
                 (ECCTD)}",
  title =        "A High Speed and Low Complexity Architecture Design
                 Methodology for Square Root Unscented {Kalman} Filter
                 based {SLAM}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ECCTD49232.2020.9218287",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Computational modeling; Computer
                 architecture; Covariance matrices; Householder CORDIC;
                 Kalman filters; Low Complexity Architecture;
                 Simultaneous localization and mapping; Simultaneous
                 Localization and Mapping; Square Root Unscented Kalman
                 Filter",
}

@Article{Fang:2020:URC,
  author =       "Linlin Fang and Bingyi Li and Yizhuang Xie and He Chen
                 and Long Pang",
  title =        "A unified reconfigurable {CORDIC} processor for
                 floating-point arithmetic",
  journal =      j-INT-J-ELECTRON,
  volume =       "107",
  number =       "9",
  pages =        "1436--1450",
  month =        feb,
  year =         "2020",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2020.1726497",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@Article{Faraji:2020:HBU,
  author =       "S. Rasoul Faraji and Kia Bazargan",
  title =        "Hybrid Binary--Unary Hardware Accelerator",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "69",
  number =       "9",
  pages =        "1308--1319",
  month =        sep,
  year =         "2020",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2020.2971596",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Wed Aug 12 14:58:16 2020",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput2020.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "alternator logic; Computer architecture; CORDIC;
                 Delays; edge detection; Field programmable gate arrays;
                 Hardware; hardware accelerators; Hybrid computing
                 system; Logic gates; scaling network; stochastic
                 computing; Stochastic processes; Thermometers; unary
                 computing system",
}

@InProceedings{Florio:2020:EVN,
  author =       "Antonello Florio and Gianfranco Avitabile and Giuseppe
                 Coviello",
  booktitle =    "{2020 IEEE 20th Mediterranean Electrotechnical
                 Conference (MELECON)}",
  title =        "An Experimental Validation of the Na{\"\i}ve Approach
                 to Angle of Arrival Estimation for Green {WSNs}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "165--168",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/MELECON48756.2020.9140528",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive Beamforming; Angle of Arrival; CORDIC;
                 Estimation; Hardware; Internet of Things; Linear
                 antenna arrays; LP-WAN; Market research; Measurement;
                 Phased Arrays; Power demand; Wireless sensor networks",
}

@InProceedings{Ghosh:2020:FAU,
  author =       "Amitava Ghosh and Uttaran De and Vivek Chaurasia and
                 Zahid Iqbal and Zainab Sultan",
  booktitle =    "{2020 4th International Conference on Electronics,
                 Materials Engineering \& Nano-Technology (IEMENTech)}",
  title =        "A fast architecture using {CORDIC} for simultaneous
                 calculation of {Hankel} transforms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/IEMENTech51367.2020.9270103",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Approximation error;
                 architecture; Bibliographies; computation time;
                 Computational modeling; Computer architecture; CORDIC;
                 error analysis; fast Fourier transform; Hankel
                 transforms; Mathematical model; Transforms",
}

@Article{Heidarpur:2020:CAT,
  author =       "Moslem Heidarpur and Parvin Khosravifar and Arash
                 Ahmadi and Majid Ahmadi",
  title =        "{CORDIC-Astrocyte}: Tripartite
                 {Glutamate-IP3-Ca}$^{2+}$ Interaction Dynamics on
                 {FPGA}",
  journal =      "IEEE Transactions on Biomedical Circuits and Systems",
  volume =       "14",
  number =       "1",
  pages =        "36--47",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/TBCAS.2019.2953631",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Astrocyte; Biological system modeling;
                 biological-plausible; Calcium; CORDIC; digital
                 implementation; Field programmable gate arrays; FPGA;
                 glutamate release; Hardware; Mathematical model;
                 neuromorphic; Neurons; spiking neural network",
}

@InProceedings{Heidarpur:2020:CSF,
  author =       "Moslem Heidarpur and Arash Ahmadi and Majid Ahmadi and
                 Mostafa Rahimi Azghadi",
  booktitle =    "{2020 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{CORDIC-SNN}: On-{FPGA} {STDP} Learning with
                 {Izhikevich} Neurons",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--1",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ISCAS45731.2020.9180463",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptation models; biological neuron model; Biological
                 system modeling; Computational modeling; CORDIC;
                 digital implementation; Field programmable gate arrays;
                 FPGA; Hardware; Izhikevich neuron; neuromorphic;
                 Neuromorphics; Neurons; on-FPGA; online; spiking neural
                 network; STDP",
}

@Article{Jain:2020:EFD,
  author =       "Prachi Jain and Ananiah Durai",
  title =        "Efficient fault diagnosis for {CORDIC} Algorithm by
                 using {Hamming} codes",
  journal =      j-J-PHYS-CONF-SER,
  volume =       "1716",
  number =       "1",
  pages =        "012041",
  month =        dec,
  year =         "2020",
  CODEN =        "JPCSDZ",
  DOI =          "https://doi.org/10.1088/1742-6596/1716/1/012041",
  ISSN =         "1742-6588 (print), 1742-6596 (electronic)",
  ISSN-L =       "1742-6588",
  bibdate =      "Tue Nov 11 13:41:52 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Physics: Conference Series",
  journal-URL =  "http://www.iop.org/EJ/journal/conf",
}

@Article{Johnson:2020:EAHa,
  author =       "Jeff Johnson",
  title =        "Efficient, arbitrarily high precision hardware
                 logarithmic arithmetic for linear algebra",
  journal =      "arxiv.org",
  volume =       "??",
  number =       "??",
  pages =        "1--8",
  day =          "14",
  month =        may,
  year =         "2020",
  bibdate =      "Tue Jul 06 18:17:13 2021",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://arxiv.org/pdf/2004.09313.pdf",
  abstract =     "The logarithmic number system (LNS) is arguably not
                 broadly used due to exponential circuit overheads for
                 summation tables relative to arithmetic precision.
                 Methods to reduce this overhead have been proposed, yet
                 still yield designs with high chip area and power
                 requirements. Use remains limited to lower precision or
                 high multiply/add ratio cases, while much of linear
                 algebra (near 1:1 multiply/add ratio) does not
                 qualify.\par

                 We present a dual-base approximate logarithmic
                 arithmetic comparable to floating point in use, yet
                 unlike LNS it is easily fully pipelined, extendable to
                 arbitrary precision with $ O(n^2) $ overhead, and
                 energy efficient at a 1:1 multiply/add ratio.Compared
                 to float32 or float64 vector inner product with FMA,
                 our design is respectively $ 2.3 \times $ and $ 4.6
                 \times $ more energy efficient in 7 nm CMOS. It depends
                 on exp and log evaluation $ 5.4 \times $ and $ 3.2
                 \times $ more energy efficient, at $ 0.23 \times $ and
                 $ 0.37 \times $ the chip area for equivalent accuracy
                 versus standard hyperbolic CORDIC using shift-and-add
                 and approximated ODE integration in the style of Revol
                 and Yakoubsohn. This technique is a novel alternative
                 for low power, high precision hardened linear algebra
                 in computer vision, graphics and machine learning
                 applications.",
  acknowledgement = ack-nhfb,
  keywords =     "approximate arithmetic; elementary function
                 evaluation; hardware linear algebra; logarithmic
                 arithmetic",
  remark =       "Published in \cite{Johnson:2020:EAHb}.",
}

@InProceedings{Johnson:2020:EAHb,
  author =       "Jeff Johnson",
  title =        "Efficient, arbitrarily high precision hardware
                 logarithmic arithmetic for linear algebra",
  crossref =     "Cornea:2020:ISC",
  pages =        "25--32",
  month =        jun,
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ARITH48897.2020.00013",
  ISSN =         "2576-2265",
  bibdate =      "Wed Jul 7 06:24:52 2021",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  abstract =     "The logarithmic number system (LNS) is arguably not
                 broadly used due to exponential circuit overheads for
                 summation tables relative to arithmetic precision.
                 Methods to reduce this overhead have been proposed, yet
                 still yield designs with high chip area and power
                 requirements. Use remains limited to lower precision or
                 high multiply/add ratio cases, while much of linear
                 algebra (near 1:1 multiply/add ratio) does not qualify.
                 We present a dual-base approximate logarithmic
                 arithmetic comparable to floating point in use, yet
                 unlike LNS it is easily fully pipelined, extendable to
                 arbitrary precision with $ O(n^2) $ overhead, and
                 energy efficient at a 1:1 multiply/add ratio. Compared
                 to float32 or float64 vector inner product with FMA,
                 our design is respectively $ 2.3 \times $ and $ 4.6
                 \times $ more energy efficient in 7 nm CMOS. It depends
                 on exp and log evaluation 5.4 and $ 3.2 \times $ more
                 energy efficient, at $ 0.23 \times $ and $ 0.37 \times
                 $ the chip area for equivalent accuracy versus standard
                 hyperbolic CORDIC using shift-and-add and approximated
                 ODE integration in the style of Revol and Yakoubsohn.
                 This technique is a novel alternative for low power,
                 high precision hardened linear algebra in computer
                 vision, graphics and machine learning applications.",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; approximate arithmetic; Clocks; elementary
                 function evaluation; Hardware; hardware linear algebra;
                 Linear algebra; logarithmic arithmetic; Pipeline
                 processing; Read only memory; Switches",
}

@InProceedings{Kagalkar:2020:CBI,
  author =       "Aishwarya Kagalkar and S. Raghuram",
  booktitle =    "{2020 24th International Symposium on VLSI Design and
                 Test (VDAT)}",
  title =        "{CORDIC} Based Implementation of the Softmax
                 Activation Function",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/VDAT50263.2020.9190498",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Biological neural networks; Deep Learning; Digital
                 Design; Field programmable gate arrays; Hardware;
                 Machine learning; Neuromorphic Circuits; Neuromorphics;
                 Softmax Activation; Table lookup; Timing",
}

@InBook{Kajur:2020:HRG,
  author =       "Renuka Kajur and K. V. Prasad",
  booktitle =    "Applied Informatics and Cybernetics in Intelligent
                 Systems",
  title =        "Hardware Realization of {GMSK} System Using Pipelined
                 {CORDIC} Module on {FPGA}",
  publisher =    "Springer International Publishing",
  pages =        "21--31",
  year =         "2020",
  DOI =          "https://doi.org/10.1007/978-3-030-51974-2_3",
  ISBN =         "3-030-51974-0",
  ISBN-13 =      "978-3-030-51974-2",
  ISSN =         "2194-5365",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Kavitha:2020:EFA,
  author =       "M. S. Kavitha and P. Rangarajan",
  title =        "An Efficient {FPGA} Architecture for Reconfigurable
                 {FFT} Processor Incorporating an Integration of an
                 Improved {CORDIC} and Radix-2r Algorithm",
  journal =      j-CSSP,
  volume =       "39",
  number =       "11",
  pages =        "5801--5829",
  month =        may,
  year =         "2020",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-020-01436-4",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@InBook{Khan:2020:DLP,
  author =       "Mohammed Wajid Khan and V. Vannammal Revathy and S.
                 Kaja Mohideen",
  booktitle =    "Nanoelectronics, Circuits and Communication Systems",
  title =        "Design of Low Power Barrel Shifter Architecture by
                 Using Proposed {MUX} Based {CORDIC} in {CMOS} Logic",
  publisher =    "Springer Singapore",
  pages =        "727--737",
  month =        nov,
  year =         "2020",
  DOI =          "https://doi.org/10.1007/978-981-15-7486-3_62",
  ISBN =         "981-15-7486-3",
  ISBN-13 =      "978-981-15-7486-3",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InBook{Khankin:2020:ECB,
  author =       "Daniel Khankin and Elad Raz and Ilan Tayari",
  booktitle =    "Cyber Security Cryptography and Machine Learning",
  title =        "Efficient {CORDIC}-Based Sine and Cosine
                 Implementation for a Dataflow Architecture: (Extended
                 Abstract)",
  publisher =    "Springer International Publishing",
  pages =        "128--142",
  year =         "2020",
  DOI =          "https://doi.org/10.1007/978-3-030-49785-9_9",
  ISBN =         "3-030-49785-2",
  ISBN-13 =      "978-3-030-49785-9",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Kubinec:2020:SAA,
  author =       "Peter Kubinec and Jozef P{\'u}{\v{c}}ik and Miroslav
                 Hagara and Elena Cocherov{\'a} and Old{\v{r}}ich
                 Ondr{\'a}{\v{c}}ek",
  booktitle =    "{2020 30th International Conference Radioelektronika
                 (RADIOELEKTRONIKA)}",
  title =        "Successive Approximation Algorithm for Complex Number
                 Magnitude and Argument Computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/RADIOELEKTRONIKA49387.2020.9092432",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "approximation; Approximation algorithms; Approximation
                 error; argument; complex number; CORDIC; Digital signal
                 processing; Field programmable gate arrays; modulus;
                 Photonics; Signal processing algorithms; square root;
                 Task analysis",
}

@Article{Kumar:2020:HER,
  author =       "Vikas Kumar and Mithun Mukherjee and Jaime Lloret",
  title =        "A Hardware-Efficient and Reconfigurable {UFMC}
                 Transmitter Architecture With its {FPGA} Prototype",
  journal =      "IEEE Embedded Systems Letters",
  volume =       "12",
  number =       "4",
  pages =        "109--112",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/LES.2019.2961850",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "5G; Baseband; Clocks; Computer architecture;
                 coordinate-rotation-digital-computer (CORDIC);
                 field-programmable gate array (FPGA); flexible
                 architecture; Generators; Hardware; hardware
                 implementation; Random access memory; Transmitters;
                 universal-filtered multicarrier (UFMC)",
}

@Article{Li:2020:HTL,
  author =       "Diwei Li and Dixian Zhao",
  title =        "High-Throughput Low-Power Area-Efficient Outphasing
                 Modulator Based on Unrolled and Pipelined Radix-2
                 {CORDIC}",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "28",
  number =       "2",
  pages =        "480--491",
  year =         "2020",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2019.2946199",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "COordinate Rotation Digital Computer (CORDIC); energy
                 efficient; Field programmable gate arrays; Hardware;
                 high throughput; Linearity; Millimeter wave
                 communication; millimeter-wave transmitter; Modulation;
                 outphasing modulator (OPM); Throughput; Transmitters",
}

@Article{Liang:2020:RLR,
  author =       "Ying Liang and Ke Li Li and Fang Hong Bi and Kun Zhang
                 and Jun Yang",
  title =        "Research on {LFMCW} Radar Velocity Ranging
                 Optimization System Based on {FPGA}",
  journal =      "Procedia Computer Science",
  volume =       "166",
  pages =        "187--194",
  year =         "2020",
  DOI =          "https://doi.org/10.1016/j.procs.2020.02.046",
  ISSN =         "1877-0509",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Proceedings of the 3rd International Conference on
                 Mechatronics and Intelligent Robotics (ICMIR-2019)",
  URL =          "https://www.sciencedirect.com/science/article/pii/S187705092030168X",
  acknowledgement = ack-nhfb,
  keywords =     "Dual-Core FFT; FPGA; Optimized {CORDIC} parallel
                 algorithm; Ranging; Speed",
}

@Article{Liu:2020:FAH,
  author =       "Duanmao Liu and Yinfei Pan and Rongsheng Lu",
  title =        "{FPGA}-assisted high-precision, high-speed {3D} shape
                 measurement",
  journal =      "Sensors and Actuators A: Physical",
  volume =       "315",
  pages =        "112366",
  year =         "2020",
  DOI =          "https://doi.org/10.1016/j.sna.2020.112366",
  ISSN =         "0924-4247",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0924424720316824",
  acknowledgement = ack-nhfb,
  keywords =     "FPGA acceleration; Inverse-phase error compensation;
                 Multi-frequency method; Phase shifting profilometry",
}

@Article{Mahdavi:2020:IAB,
  author =       "Hossein Mahdavi and Somayeh Timarchi",
  title =        "Improving Architectures of Binary Signed-Digit
                 {CORDIC} With Generic\slash Specific Initial Angles",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "67",
  number =       "7",
  pages =        "2297--2304",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/TCSI.2020.2978765",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Acceleration; Adders; binary signed-digit number
                 system; Computer architecture; CORDIC; Encoding;
                 look-ahead CORDIC; Microsoft Windows; posibit-negabit
                 encoding; Power demand; Signal processing algorithms",
}

@InProceedings{Ozkilbac:2020:DHI,
  author =       "Bahad{\i}r {\"O}zk{\i}lba{\c{c}} and Tevhit
                 Karacal{\i}",
  editor =       "????",
  booktitle =    "{2020 12th International Conference on Electrical and
                 Electronics Engineering (ELECO), 26--28 November 2020,
                 Bursa, Turkey}",
  title =        "Design and Hardware Implementation of Bit Length
                 Adjustable Cosine and Sine Generator with {CORDIC}
                 Algorithm in {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "145--149",
  year =         "2020",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/9317179/",
  acknowledgement = ack-nhfb,
  keywords =     "Digital computers; Field programmable gate arrays;
                 Generators; Hardware; Signal processing algorithms;
                 Silicon compounds; Table lookup",
  remark =       "No DOI available in IEEE Xplore database.",
}

@InProceedings{Paliwal:2020:HDI,
  author =       "Atharv Paliwal and Bhavik Mohindroo and Kriti Suneja",
  booktitle =    "{2020 5th IEEE International Conference on Recent
                 Advances and Innovations in Engineering (ICRAIE)}",
  title =        "Hardware Design of Image Encryption and Decryption
                 Using {CORDIC} Based Chaotic Generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICRAIE51050.2020.9358354",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bit Permutation; Chaos; Chaotic Generator; COordinate
                 Rotational DIgital Computer (CORDIC); Digital
                 computers; Encryption; Field programmable gate arrays;
                 Field programmable gate arrays (FPGA); Generators;
                 Hardware; Logic gates; Look-up Tables (LUTs); State
                 Controlled Cellular Neural Network (SC-CNN)",
}

@InProceedings{Rajagopalan:2020:CBP,
  author =       "P. Rajagopalan and Shrikant Dubey and Rajat Arora and
                 Sanjay. D. Mehta",
  booktitle =    "{2020 7th International Conference on Signal
                 Processing and Integrated Networks (SPIN)}",
  title =        "{CORDIC} Based Phase Calibration Algorithm for Phase
                 Coherent {DSP} Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "576--580",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/SPIN48934.2020.9070821",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Calibration; CORDIC; Field
                 programmable gate arrays; Monitoring; Phase
                 Calibration; Phase coherency; Phase measurement; Signal
                 processing algorithms",
}

@InProceedings{Raut:2020:CBC,
  author =       "Gopal Raut and Shubham Rai and Santosh Kumar
                 Vishvakarma and Akash Kumar",
  booktitle =    "{2020 IEEE Computer Society Annual Symposium on VLSI
                 (ISVLSI)}",
  title =        "A {CORDIC} Based Configurable Activation Function for
                 {ANN} Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "78--83",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ISVLSI49217.2020.00024",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial neural network; ASIC; Biological neural
                 networks; Computational modeling; compute efficiency;
                 Computer architecture; configurable architecture;
                 CORDIC; Delays; Hardware; Mathematical model; multi
                 activation function; Neurons",
}

@Article{Salehi:2020:NDL,
  author =       "Forouzan Salehi and Ebrahim Farshidi and Hooman
                 Kaabi",
  title =        "Novel design for a low-latency {CORDIC} algorithm for
                 sine-cosine computation and its Implementation on
                 {FPGA}",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "77",
  pages =        "103197",
  year =         "2020",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2020.103197",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933120303641",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "Alternate; CORDIC algorithm; FPGA; Low latency;
                 Multiplexer",
}

@InProceedings{Sharma:2020:CAC,
  author =       "Nitesh Kumar Sharma and Shanti Rathore and M. R.
                 Khan",
  booktitle =    "{2020 First International Conference on Power, Control
                 and Computing Technologies (ICPC2T)}",
  title =        "A Comparative Analysis on Coordinate Rotation Digital
                 Computer ({CORDIC}) Algorithm and Its use on Computer
                 Vision Technology",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "106--110",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICPC2T48082.2020.9071514",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer vision; Computer Vision; CORDIC; DIP;
                 Discrete cosine transforms; DSP; Hardware; Latency;
                 Read only memory; Signal processing algorithms; Time
                 complexity",
}

@InProceedings{Shashikala:2020:EIR,
  author =       "BN Shashikala and BS Sudha and Sayantam Sarkar",
  booktitle =    "{2020 International Conference on Recent Trends on
                 Electronics, Information, Communication \& Technology
                 (RTEICT)}",
  title =        "Efficient Implementation of Radix-2 {FFT} Architecture
                 using {CORDIC} for Signal Processing Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "137--142",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/RTEICT49044.2020.9315657",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; FFT; Hardware; Radix-2;
                 Signal processing; Signal processing algorithms;
                 Twiddle factor",
}

@Article{Shi:2020:APJ,
  author =       "Zhiguo Shi and Qianwen He and Ying Liu",
  title =        "Accelerating Parallel {Jacobi} Method for Matrix
                 Eigenvalue Computation in {DOA} Estimation Algorithm",
  journal =      j-IEEE-TRANS-VEH-TECHNOL,
  volume =       "69",
  number =       "6",
  pages =        "6275--6285",
  year =         "2020",
  CODEN =        "ITUTA6",
  DOI =          "https://doi.org/10.1109/TVT.2020.2984705",
  ISSN =         "0018-9545 (print), 1939-9359 (electronic)",
  ISSN-L =       "0018-9545",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Vehicular Technology",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=25",
  keywords =     "Acceleration; CORDIC algorithm; Direction-of-arrival
                 estimation; Eigenvalue; Eigenvalues and eigenfunctions;
                 Estimation; FPGA; Hardware; Jacobi method; Jacobian
                 matrices; Multiple signal classification; parallel
                 computation",
}

@InProceedings{Shrinivasan:2020:LPL,
  author =       "Lakshmi Shrinivasan and Krishika Ponnamma C. R.",
  booktitle =    "{2020 IEEE International Conference on Electronics,
                 Computing and Communication Technologies (CONECCT)}",
  title =        "Low Power Low Area Implementation of {CORDIC}
                 Architecture Using Carry Select Adder for Realtime
                 {DSP} Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/CONECCT50063.2020.9198608",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Carry select adder; Computer architecture;
                 Coordinate rotation digital computer; Delays; Digital
                 signal processing; Field programmable gate array (FPGA)
                 logic; Field programmable gate arrays; Hardware; Micro
                 rotation; Pipeline processing; Signal processing
                 algorithms; Sine wave generator",
}

@Article{Suryakumari:2020:RLU,
  author =       "K. Suryakumari and N. Venkateswara Rao",
  title =        "Restrained Latency Universal Modulator Outlay Adaptive
                 {CORDIC} Algorithm for Digital Communication",
  journal =      "Journal of Applied Security Research",
  volume =       "16",
  number =       "2",
  pages =        "224--246",
  month =        sep,
  year =         "2020",
  DOI =          "https://doi.org/10.1080/19361610.2020.1732269",
  ISSN =         "1936-1629",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Thiruvengadam:2020:LPA,
  author =       "C. Thiruvengadam and M. Palanivelan and K. {Senthil
                 Kumar} and T. Jayasankar",
  title =        "Low power approximate adder based repetitive iteration
                 cord ({LP-ARICO}) algorithm for high-speed
                 applications",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "78",
  pages =        "103260",
  year =         "2020",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2020.103260",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933120304208",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "CSLA; Lp-arico; Micro-rotation; Scaling-factor",
}

@InProceedings{Tufekci:2020:EFI,
  author =       "Burak Tufekci and Bugra Onal and Hamza Dere and H.
                 Fatih Ugurdag",
  booktitle =    "{2020 IEEE East-West Design \& Test Symposium
                 (EWDTS)}",
  title =        "Efficient {FPGA} Implementation of Field Oriented
                 Control for 3-Phase Machine Drives",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/EWDTS50664.2020.9224884",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "BLDC; CORDIC; Field programmable gate arrays; FOC;
                 FPGA; Frequency control; Hardware; Motor Control; PI
                 control; PMSM; Pulse width modulation; Support vector
                 machines; Voltage control",
}

@Article{Vachhani:2020:CSN,
  author =       "Leena Vachhani",
  title =        "{CORDIC} as a switched nonlinear system",
  journal =      "Circuits Syst. Signal Process.",
  volume =       "39",
  number =       "6",
  pages =        "3234--3249",
  year =         "2020",
  DOI =          "https://doi.org/10.1007/s00034-019-01295-8",
  ISSN =         "0278-081x (print), 1531-5878 (electronic)",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  ZMnumber =     "1448.93224",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, Systems, and Signal Processing",
  keywords =     "93C83,93C30,93D05,93C10",
  ZBmath =       "7237112",
}

@Article{Wang:2020:CSG,
  author =       "Guofu Wang and Sheng Chen and Jincai Ye and Faquan
                 Zhang",
  title =        "A chirp signal generator without multiplier based on
                 improved {CORDIC} algorithm",
  journal =      j-J-CHINESE-INST-ENG,
  volume =       "43",
  number =       "6",
  pages =        "532--540",
  month =        jun,
  year =         "2020",
  CODEN =        "CKCKDZ",
  DOI =          "https://doi.org/10.1080/02533839.2020.1771212",
  ISSN =         "2158-7299",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of the Chinese Institute of Engineers =
                 Chung-kuo kung ch'eng hsueh kan",
}

@Article{Wang:2020:GCB,
  author =       "Yuxuan Wang and Yuanyong Luo and Zhongfeng Wang and
                 Qinghong Shen and Hongbing Pan",
  title =        "{GH} {CORDIC}-based Architecture for Computing
                 {$N$}-th Root of Single-Precision Floating-Point
                 Number",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "28",
  number =       "4",
  pages =        "864--875",
  year =         "2020",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2019.2959847",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Binary floating point (BFP); Computer architecture;
                 COordinate Rotation Digital Computer (CORDIC); Field
                 programmable gate arrays; Graphics; Hardware; high
                 speed; Mathematical model; Nth root; Standards; Very
                 large scale integration",
}

@InProceedings{Wenda:2020:RTS,
  author =       "Zhao Wenda and Chen DeCheng and Han Song and Wang
                 Yanfei",
  booktitle =    "{2020 IEEE 3rd International Conference on Electronics
                 Technology (ICET)}",
  title =        "Real-time {SAR-CORDIC} Algorithm and Application in
                 Signal Generation and Scene Simulation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "612--619",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICET49382.2020.9119566",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer performance; Conferences; CORDIC;
                 Correlation; FPGA; Mathematical models; parallel
                 computation; Real-time systems; signal generation;
                 Signal processing; Signal processing algorithms;
                 synthetic aperture radar",
}

@InProceedings{Wu:2020:CBH,
  author =       "Chung-Bin Wu and Yu-Kuan Hsiao",
  booktitle =    "{2020 IEEE International Conference on Consumer
                 Electronics --- Taiwan (ICCE-Taiwan)}",
  title =        "{CORDIC} Based Hardware Implementation for Object
                 Region Layer of {Yolo V2}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258096",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AI accelerator; CNN; Computer architecture;
                 Conferences; Deep learning; Face recognition; Hardware;
                 Hardware architecture; Object recognition; Speech
                 recognition",
}

@InProceedings{Yin-Di:2020:HMH,
  author =       "Zhang Yin-Di and Liu Ming and Wang Ming-Jiang",
  booktitle =    "{2020 IEEE 5th International Conference on Signal and
                 Image Processing (ICSIP)}",
  title =        "A Hardware Modeling of High Precision Floating Point
                 Arcsine\slash Arccosine Function Based on {CORDIC}
                 Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1040--1044",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICSIP49896.2020.9339409",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "arcsine/arccosine; Clocks; CORDIC; floating point;
                 four-step rotation prediction iteration; Hardware;
                 Hardware design languages; Image processing; Indexes;
                 Prediction algorithms; Standards",
}

@Article{Zechmeister:2020:SKEa,
  author =       "Mathias Zechmeister",
  title =        "Solving {Kepler}'s equation with {CORDIC} double
                 iterations",
  journal =      "arXiv.org",
  volume =       "??",
  number =       "??",
  pages =        "??--??",
  year =         "2020",
  DOI =          "https://doi.org/10.48550/arXiv.2008.02894",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2008.02894",
  abstract =     "In a previous work, we developed the idea to solve
                 Kepler's equation with a CORDIC-like algorithm, which
                 does not require any division, but still
                 multiplications in each iteration. Here we overcome
                 this major shortcoming and solve Kepler's equation
                 using only bitshifts, additions, and one initial
                 multiplication. We prescale the initial vector with the
                 eccentricity and the scale correction factor. The
                 rotation direction is decided without correction for
                 the changing scale. We find that double CORDIC
                 iterations are self-correcting and compensate possible
                 wrong rotations in subsequent iterations. The algorithm
                 needs 75\% more iterations and delivers the eccentric
                 anomaly and its sine and cosine terms times the
                 eccentricity. The algorithm can be adopted for the
                 hyperbolic case, too. The new shift-and-add algorithm
                 brings Kepler's equation close to hardware and allows
                 to solve it with cheap and simple hardware
                 components.",
  acknowledgement = ack-nhfb,
  keywords =     "Instrumentation and Methods for Astrophysics
                 (astro-ph.IM), Computational Physics (physics.comp-ph),
                 FOS: Physical sciences, FOS: Physical sciences",
}

@Article{Zechmeister:2020:SKEb,
  author =       "M. Zechmeister",
  title =        "Solving {Kepler}'s equation with {CORDIC} double
                 iterations",
  journal =      j-MONTHLY-NOT-ROY-ASTRON-SOC,
  volume =       "500",
  number =       "1",
  pages =        "109--117",
  month =        nov,
  year =         "2020",
  CODEN =        "MNRAA4",
  DOI =          "https://doi.org/10.1093/mnras/staa2441",
  ISSN =         "1365-2966",
  ISSN-L =       "0035-8711",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://doi.org/10.1093/mnras/staa2441",
  abstract =     "In a previous work, we developed the idea to solve
                 Kepler's equation with a CORDIC-like algorithm, which
                 does not require any division, but still
                 multiplications in each iteration. Here we overcome
                 this major shortcoming and solve Kepler's equation
                 using only bitshifts, additions, and one initial
                 multiplication. We prescale the initial vector with the
                 eccentricity and the scale correction factor. The
                 rotation direction is decided without correction for
                 the changing scale. We find that double CORDIC
                 iterations are self-correcting and compensate possible
                 wrong rotations in subsequent iterations. The algorithm
                 needs 75\% more iterations and delivers the eccentric
                 anomaly and its sine and cosine terms times the
                 eccentricity. The algorithm can be adopted for the
                 hyperbolic case, too. The new shift-and-add algorithm
                 brings Kepler's equation close to hardware and allows
                 to solve it with cheap and simple hardware
                 components.",
  acknowledgement = ack-nhfb,
  fjournal =     "Monthly Notices of the Royal Astronomical Society",
  journal-URL =  "https://academic.oup.com/mnras",
}

@InProceedings{Zhan:2020:FCB,
  author =       "Yi Zhan and Zihao Wang and Jiarui Xu and Guoyi Yu and
                 Fengwei An and Wenzheng Chi and Chao Wang",
  booktitle =    "{2020 5th International Conference on Robotics and
                 Automation Engineering (ICRAE)}",
  title =        "Fast {CORDIC} based Generalized-{Voronoi}-Diagram
                 Hardware Accelerator for Efficient Robotic
                 Exploration",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "100--105",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICRAE50850.2020.9310864",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "fast-convergence CORDIC; Finite element analysis; GVD;
                 Hardware; hardware accelerator; Mathematical model;
                 path exploration; Robot kinematics; Robot sensing
                 systems; Robots; Sensors",
}

@InProceedings{Zhao:2020:EHG,
  author =       "Zikun Zhao and Qiuming Zhu and Kai Mao and Weiqiang
                 Liu and Ning Li and Shuangyi Yan and Wei Huang",
  booktitle =    "{2020 IEEE Globecom Workshops (GC Wkshps)}",
  title =        "An Efficient Hardware Generator for Massive
                 Non-Stationary Fading Channels",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/GCWkshps50303.2020.9367588",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Fading channels; Field programmable gate
                 arrays; Generators; Hardware; Massive MIMO; Massive
                 MIMO channels; non-stationary fading; Pipelines;
                 Probability density function; SoLFM; statistical
                 properties",
}

@InProceedings{Zhu:2020:DNB,
  author =       "Zehua Zhu and Haiyang Quan and Ling Tian and Tieliang
                 Zhang and Xinmang Peng and Lei Zhang",
  booktitle =    "{2020 IEEE 3rd International Conference on Electronics
                 Technology (ICET)}",
  title =        "The Design of {NCO} Built in Ultra High-Speed Data
                 Converter",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "319--323",
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ICET49382.2020.9119568",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "amplitude; CORDIC algorithm; Frequency conversion;
                 Hardware; Local oscillators; Modulation; NCO; Numerical
                 Controlled Oscillator (NCO); phase accumulator; Radar;
                 Radar countermeasures; Software algorithms",
}

@InProceedings{Bhukya:2021:DIC,
  author =       "Sreenivasu Bhukya and Sharath Chandra Inguva",
  booktitle =    "{2021 6th International Conference for Convergence in
                 Technology (I2CT)}",
  title =        "Design and Implementation of {CORDIC} algorithm using
                 Integrated Adder and Subtractor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/I2CT51068.2021.9418002",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Canonical signed-digit; Computer architecture; CORDIC
                 algorithm; Hardware; Hcub algorithm; Integrated adder
                 subtractor; Logic gates; Performance evaluation; Power
                 demand; Quantization (signal); Simulation",
}

@InProceedings{Bose:2021:VIR,
  author =       "Sudipta Bose and Arijit De and Indrajit Chakrabarti",
  booktitle =    "{2021 IEEE 18th India Council International Conference
                 (INDICON)}",
  title =        "{VLSI} Implementation of A Robust Threshold-Based
                 Earthquake Early Warning System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/INDICON52576.2021.9691540",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive filters; c and Pd estimation unit; coordinate
                 rotation digital computer (CORDIC); Earth
                 quake-early-warning-system (EEWS); Estimation; FPGA and
                 ASIC design; Handheld computers; Hazards; Real-time
                 systems; Uncertainty; Very large scale integration",
}

@Article{Chakraborty:2021:CBH,
  author =       "Anirban Chakraborty and Ayan Banerjee",
  title =        "{CORDIC}-based High-Speed {VLSI} Architecture of
                 Transform Model Estimation for Real-Time Imaging",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "29",
  number =       "1",
  pages =        "215--226",
  year =         "2021",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2020.3035514",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Computed tomography; Computer architecture; CORDIC;
                 Field programmable gate arrays; field-programmable gate
                 array (FPGA); Hardware; image transformation; Imaging;
                 parallel processing; Real-time systems; transform model
                 estimation (TME); Very large scale integration; VLSI
                 architecture",
}

@Article{ChandraInguva:2021:IFD,
  author =       "Sharath {Chandra Inguva} and J. B. Seventiline",
  title =        "Implementation of {FPGA} design of {FFT} architecture
                 based on {CORDIC} algorithm",
  journal =      j-INT-J-ELECTRON,
  volume =       "108",
  number =       "11",
  pages =        "1914--1939",
  month =        feb,
  year =         "2021",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2020.1870750",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@Article{Changela:2021:MRV,
  author =       "Ankur Changela and Mazad Zaveri and Deepak Verma",
  title =        "Mixed-radix, virtually scaling-free {CORDIC} algorithm
                 based rotator for {DSP} applications",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "78",
  pages =        "70--83",
  year =         "2021",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/j.vlsi.2021.01.005",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S016792602100016X",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Carry-save arithmetic; Higher radix CORDIC;
                 Multipath-delay commutator (MDC) architecture;
                 Scaling-free vector rotation; Two-dimensional vector
                 rotation",
}

@InProceedings{Chen:2021:GMA,
  author =       "Hui Chen and Ruiqi Wu and Zhonghai Lu and Yuxiang Fu
                 and Li Li and Zongguang Yu",
  booktitle =    "{2021 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "A General Methodology and Architecture for Arbitrary
                 Complex Number Nth Root Computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ISCAS51556.2021.9401720",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "complex number Nth root; Computer architecture;
                 CORDIC; efficient hardware; flexible precision; general
                 method; Hardware; Integrated circuit modeling; Power
                 demand; Semiconductor device modeling; Software; Task
                 analysis",
}

@Article{Chen:2021:LCH,
  author =       "Hui Chen and Zongguang Yu and Yonggang Zhang and
                 Zhonghai Lu and Yuxiang Fu and Li Li",
  title =        "Low-Complexity High-Precision Method and Architecture
                 for Computing the Logarithm of Complex Numbers",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "68",
  number =       "8",
  pages =        "3293--3304",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/TCSI.2021.3081517",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "complex logarithm; Complex number; Complexity theory;
                 Computer architecture; Convergence; CORDIC; Hardware;
                 high hardware efficiency; low design complexity;
                 Software; Synthetic aperture radar; Table lookup",
}

@Article{Dec:2021:LCI,
  author =       "Grzegorz Rafa{\l} Dec",
  title =        "{LSTM} Cell Implementation on {FPGAs}",
  journal =      j-PARALLEL-PROCESS-LETT,
  volume =       "31",
  number =       "02",
  pages =        "??--??",
  month =        jun,
  year =         "2021",
  CODEN =        "PPLTEE",
  DOI =          "https://doi.org/10.1142/S0129626421500110",
  ISSN =         "0129-6264 (print), 1793-642X (electronic)",
  ISSN-L =       "0129-6264",
  bibdate =      "Thu Feb 17 06:50:36 MST 2022",
  bibsource =    "http://ejournals.wspc.com.sg/ppl/;
                 http://www.math.utah.edu/pub/tex/bib/parallelprocesslett.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.worldscientific.com/doi/10.1142/S0129626421500110",
  abstract =     "This paper presents and discusses the implementation
                 of an LSTM cell on an FPGA with an activation function
                 inspired by the CORDIC algorithm. The realization is
                 performed using both IEEE754 standard and 32-bit
                 integer numbers. The case with floating-point
                 arithmetic is analyzed with and without DSP blocks
                 provided by the Xilinx design suite. The alternative
                 implementation including the integer arithmetic was
                 optimized for a minimal number of clock cycles.
                 Presented implementation uses xc6slx150t-2fgg900 and
                 achieves high calculations accuracy for both cases.",
  acknowledgement = ack-nhfb,
  articleno =    "2150011",
  fjournal =     "Parallel Processing Letters",
  journal-URL =  "http://www.worldscientific.com/loi/ppl",
}

@InProceedings{ElGhany:2021:SFC,
  author =       "Mohamed A. Abd {El Ghany} and Khaled A. Ismail",
  booktitle =    "{2021 International Conference on Microelectronics
                 (ICM)}",
  title =        "Speed Up Functional Coverage Closure of {CORDIC}
                 Designs Using Machine Learning Models",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "91--95",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICM52667.2021.9664930",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial neural networks; Computational modeling;
                 Constrained random verification; Costs; Decision Trees;
                 Machine learning; Measurement; Neural Networks;
                 Predictive models; Support vector machines; Support
                 Vector Regression; Training",
}

@InProceedings{Guo:2021:WBD,
  author =       "Yu Guo and Jin Meng and Hongzhang Gao and Songhu Ge
                 and Yaxing Li and Kai Yang",
  booktitle =    "{2021 13th International Symposium on Antennas,
                 Propagation and EM Theory (ISAPE)}",
  title =        "Wide-band {DOA} Method with Sparse Array for
                 Interference Cancellation",
  volume =       "Volume1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "01--03",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ISAPE54070.2021.9753261",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC rotation; direction of arrival;
                 Direction-of-arrival estimation; Fuses; Hardware;
                 interference cancellation; Interference cancellation;
                 Jacobian matrices; minimum redundancy classification;
                 multiple signal classification; Pattern classification;
                 Redundancy",
}

@Article{Hao:2021:CBR,
  author =       "Xinyu Hao and Shuangming Yang and Bin Deng and Jiang
                 Wang and Xile Wei and Yanqiu Che",
  title =        "A {CORDIC} based real-time implementation and analysis
                 of a respiratory central pattern generator",
  journal =      j-NEUROCOMPUTING,
  volume =       "423",
  pages =        "373--388",
  year =         "2021",
  CODEN =        "NRCGEO",
  DOI =          "https://doi.org/10.1016/j.neucom.2020.10.101",
  ISSN =         "0925-2312 (print), 1872-8286 (electronic)",
  ISSN-L =       "0925-2312",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0925231220317148",
  acknowledgement = ack-nhfb,
  fjournal =     "Neurocomputing",
  journal-URL =  "http://www.sciencedirect.com/science/journal/09252312",
  keywords =     "Central pattern generation (CPG); Coordinate Rotation
                 Digital Computer (CORDIC); Digital implementation;
                 Field programmable gate array (FPGA); Oscillations;
                 Respiratory network",
}

@Article{Hao:2021:RAC,
  author =       "Liang Hao and Tengbo Chen and Jiyang Yu",
  title =        "Research on the application of {CORDIC} algorithm in
                 the field of space-borne on-board signal processing",
  journal =      "Procedia Computer Science",
  volume =       "183",
  pages =        "361--371",
  year =         "2021",
  DOI =          "https://doi.org/10.1016/j.procs.2021.02.072",
  ISSN =         "1877-0509",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "Proceedings of the 10th International Conference of
                 Information and Communication Technology",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877050921005470",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; FPGA; On-board Payload Processing;
                 Spcace-borne",
}

@Article{Jiang:2021:DMT,
  author =       "Cuili Jiang and Zhihui Tian and Wenjiao Yu",
  title =        "Displacement measurement technology of nano grating
                 sensor based on {HHT} algorithm",
  journal =      j-MICROELECT-J,
  volume =       "109",
  pages =        "104986",
  year =         "2021",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2020.104986",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0026269220305851",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "Displacement measurement; Frequency; HHT algorithm;
                 Interference signal; Nano grating sensor",
}

@InBook{Jones:2021:DCB,
  author =       "Keith John Jones",
  booktitle =    "The Regularized Fast Hartley Transform",
  title =        "Design of {CORDIC}-Based Processing Element for
                 Regularized Fast Hartley Transform",
  publisher =    "Springer International Publishing",
  pages =        "119--134",
  month =        sep,
  year =         "2021",
  DOI =          "https://doi.org/10.1007/978-3-030-68245-3_7",
  ISBN =         "3-030-68245-5",
  ISBN-13 =      "978-3-030-68245-3",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InBook{Kang:2021:RHP,
  author =       "Chengwei Kang and Ping Wang and Weijie Li",
  booktitle =    "The Proceedings of the 9th Frontier Academic Forum of
                 Electrical Engineering",
  title =        "Research on High Precision {AC} Voltage Phase and
                 Amplitude Detection Algorithms Based on {SPLL} and
                 {CORDIC}",
  publisher =    "Springer Singapore",
  pages =        "123--132",
  year =         "2021",
  DOI =          "https://doi.org/10.1007/978-981-33-6606-0_12",
  ISBN =         "981-336-606-0",
  ISBN-13 =      "978-981-336-606-0",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Khai:2021:RSG,
  author =       "Lam Duc Khai and Trinh Viet Hoang",
  booktitle =    "{2021 15th International Conference on Advanced
                 Computing and Applications (ACOMP)}",
  title =        "A Road Self-Guided Hardware-Based Demo System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "156--161",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ACOMP53746.2021.00028",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; hardware; Hardware;
                 Hough Line Transform; line detection; Navigation;
                 Pipelines; road navigation; Roads; Sobel; Software
                 algorithms; Transforms",
}

@Article{Krishna:2021:LQT,
  author =       "B. Murali Krishna and B. T. Krishna and K. Babulu",
  title =        "Linear and quadratic time frequency transforms on
                 {FPGA} using folding technique",
  journal =      j-MICROPROC-MICROSYS,
  volume =       "80",
  pages =        "103635",
  year =         "2021",
  CODEN =        "MIMID5",
  DOI =          "https://doi.org/10.1016/j.micpro.2020.103635",
  ISSN =         "0141-9331 (print), 1872-9436 (electronic)",
  ISSN-L =       "0141-9331",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0141933120307821",
  acknowledgement = ack-nhfb,
  fjournal =     "Microprocessors and Microsystems",
  keywords =     "CORDIC; Folding Technique; FPGA; Transform Techniques;
                 Verilog",
}

@InProceedings{Kumar:2021:DDA,
  author =       "Putchala Santosh Kumar and Prajapati Vatsalkumar and
                 Snehasis Dolui and Nadeem Khan and A. Arockia Bazil
                 Raj",
  booktitle =    "{2021 International Conference on System, Computation,
                 Automation and Networking (ICSCAN)}",
  title =        "Design of Digital Architecture for Custom
                 Implementation of {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICSCAN53069.2021.9526417",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC Algorithm; Hardware;
                 hyperbolic functions; Linear iterations; Power demand;
                 Rotation mode; Signal processing algorithms;
                 Simulation; Throughput; Trigonometric functions; VHDL",
}

@InBook{Kumar:2021:NAT,
  author =       "Ankit Kumar and Astha Singh and Shiv Prakash and
                 Vrijendra Singh",
  booktitle =    "{AI} and {IoT} Based Intelligent Automation in
                 Robotics",
  title =        "A Novel Approach Towards Audio Watermarking Using
                 {FFT} and {CORDIC}-based {$ Q R $} Decomposition",
  chapter =      "20",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "323--338",
  year =         "2021",
  DOI =          "https://doi.org/10.1002/9781119711230.ch20",
  ISBN =         "1-119-71123-1",
  ISBN-13 =      "978-1-119-71123-0",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://onlinelibrary.wiley.com/doi/abs/10.1002/9781119711230.ch20",
  acknowledgement = ack-nhfb,
  eprint =       "https://onlinelibrary.wiley.com/doi/pdf/10.1002/9781119711230.ch20",
  keywords =     "Audio watermarking; CORDIC-based QR decomposition;
                 Distortion; Encryption; encryption; Fast Fourier
                 transforms; FFT transformation; Intelligent automation;
                 Matrix decomposition; Media; normalized
                 cross-correlation (NCC); peak signal-to-noise ratio
                 (PSNR); PSNR; Robots; Standards; Watermarking",
}

@InProceedings{Li:2021:NAE,
  author =       "Yujie Li and Guangyou Hong and Zixuan Peng and Jipeng
                 Wang and Jiarui Xu and Guoyi Yu and Chengjun Huang and
                 Chao Wang",
  booktitle =    "{2021 IEEE International Conference on Integrated
                 Circuits, Technologies and Applications (ICTA)}",
  title =        "A Novel Area-Efficient Fast {CORDIC} for
                 Energy-efficient Adaptive Exponential Integrate and
                 Fire Neuron Design",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "249--250",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICTA53157.2021.9661975",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptation models; AdEx Neuron; Biological neuron;
                 Biological system modeling; Computational modeling;
                 Conferences; CORDIC; Digital computers; FPGA;
                 Integrated circuit technology; Neurons",
}

@Article{Liu:2021:FCT,
  author =       "Tsung-Hsien Liu and Shih-Lun Wang and You-Jia Lin and
                 Yin-Tsung Hwang and Chiao-En Chen and Yuan-Sun Chu",
  title =        "Fixed-Complexity Tree Search Schemes for Detecting
                 Generalized Spatially Modulated Signals: Algorithms and
                 Hardware Architectures",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "68",
  number =       "2",
  pages =        "904--917",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/TCSI.2020.3040375",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computer architecture; CORDIC module; fixed-complexity
                 tree search; Generalized spatial modulation; Hardware;
                 Indexes; maximum likelihood detection; MIMO
                 communication; Receiving antennas; sphere decoding
                 algorithm; Throughput; Transmitting antennas",
}

@InProceedings{Masram:2021:DIM,
  author =       "Bharati Y. Masram and P. T. Karule",
  booktitle =    "{2021 IEEE Bombay Section Signature Conference
                 (IBSSC)}",
  title =        "Design and Implementation of 111 {MHz} Frequency
                 Compression Efficient {CORDIC} Based {2D-DCT} using
                 {FPGA} and its Power Performance",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/IBSSC53889.2021.9673446",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "1D-Discrete Cosine Transform; 2D-Discrete Cosine
                 Transform; ATR; Complexity theory; Computer
                 architecture; CORDIC; Image coding; Signal processing
                 algorithms; Throughput; Timing; Very large scale
                 integration",
}

@InProceedings{Milic:2021:HAC,
  author =       "Mateja Mili{\'c} and Nenad Bun{\v{c}}i{\'c}",
  booktitle =    "{2021 29th Telecommunications Forum (TELFOR)}",
  title =        "Hardware Accelerators for Calculating the Magnitude
                 and Angle of Image Gradient",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/TELFOR52709.2021.9653399",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Digital Image Processing; Digital images;
                 fixed-point; FPGA; gradient image; hardware
                 accelerator; Image edge detection; Program processors;
                 Software; Software algorithms; square root; Streaming
                 media; Telecommunications",
}

@InProceedings{Mohamed:2021:DIA,
  author =       "Nadya A. Mohamed and Joseph R. Cavallaro",
  booktitle =    "{2021 IEEE Workshop on Signal Processing Systems
                 (SiPS)}",
  title =        "Design and Implementation of {Autoencoder-LSTM}
                 Accelerator for Edge Outlier Detection",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "134--139",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/SiPS52927.2021.00032",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accelerator; Autoen-coder; Computer architecture;
                 CORDIC; Detectors; embedded systems; Feature
                 extraction; FPGA; LSTM; outliers; Power demand; Sensor
                 phenomena and characterization; Signal processing;
                 Throughput",
}

@InProceedings{Muddasani:2021:CBO,
  author =       "Satyanarayana Muddasani and A. V. Ravi Teja",
  booktitle =    "{IECON 2021 47th Annual Conference of the IEEE
                 Industrial Electronics Society}",
  title =        "{CORDIC} based Orthogonal Signal Generation with
                 In-loop Moving Average Filter for Single Phase {PLL}
                 Systems",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/IECON48115.2021.9589412",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithm; Estimation; Filtering algorithms;
                 Frequency estimation; grid synchronization; Harmonic
                 analysis; in-loop filter; Industrial electronics;
                 moving average filter; Orthogonal signal generation;
                 Phase locked loops; Power quality; Second order
                 generalized integrator; Synchronization",
}

@InProceedings{Nguyen:2021:CBT,
  author =       "Khai-Duy Nguyen and Dang Tuan Kiet and Trong-Thuc
                 Hoang and Nguyen Quang Nhu Quynh and Cong-Kha Pham",
  editor =       "{IEEE}",
  booktitle =    "{2021 IEEE Hot Chips 33 Symposium (HCS)}",
  title =        "A {CORDIC}-based Trigonometric Hardware Accelerator
                 with Custom Instruction in 32-bit {RISC-V}
                 {System-on-Chip}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--13",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/HCS52781.2021.9567158",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/risc-v.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Digital computers; Field programmable gate arrays;
                 Instruction sets; Logic gates; Microprocessors;
                 Programmable logic arrays; System-on-chip",
}

@InProceedings{Nguyen:2021:HSA,
  author =       "Thanh Dat Nguyen and Dong Hwan Kim and Jin Seok Yang
                 and Sang Yoon Park",
  booktitle =    "{2021 36th International Technical Conference on
                 Circuits/Systems, Computers and Communications
                 (ITC-CSCC)}",
  title =        "High-Speed {ASIC} Implementation of Tanh Activation
                 Function Based on the {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ITC-CSCC52171.2021.9501440",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "artificial neural network; Artificial neural networks;
                 Computer architecture; CORDIC; Digital computers;
                 Government; Hardware; high throughput; Libraries;
                 Microprocessors; tanh activation function",
}

@InProceedings{Pal:2021:AFA,
  author =       "Souvik Pal and G. Suseendran and D. Akila and R.
                 Jayakarthik and T. Nusrat Jabeen",
  booktitle =    "{2021 2nd International Conference on Computation,
                 Automation and Knowledge Management (ICCAKM)}",
  title =        "Advanced {FFT} Architecture Based on {CORDIC} Method
                 for Brain Signal Encryption System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "92--96",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICCAKM50778.2021.9357770",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Brain wave signal; Computer architecture; CORDIC;
                 Diseases; Encryption; Fast Fourier Transform;
                 Fingerprint recognition; High-security system;
                 Knowledge management; Medical diagnostic imaging;
                 Performance evaluation; Tumors",
}

@InProceedings{Peng:2021:HAE,
  author =       "Zixuan Peng and Jipeng Wang and Yi Zhan and Run Min
                 and Guoyi Yu and Jianwen Luo and Kwen-Siong Chong and
                 Chao Wang",
  booktitle =    "{2021 19th IEEE International New Circuits and Systems
                 Conference (NEWCAS)}",
  title =        "A High-Accuracy and Energy-Efficient {CORDIC} based
                 {Izhikevich} Neuron",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/NEWCAS50681.2021.9462786",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "biological neuron model; Biological system modeling;
                 Computational modeling; CORDIC; Energy efficiency;
                 FPGA; Hardware; Izhikevich neuron; Neuromorphics;
                 Neurons; Power demand; spiking neural network",
}

@InProceedings{Pradeep:2021:VIE,
  author =       "K. Pradeep and Neenavath Veeraiah",
  booktitle =    "{2021 10th IEEE International Conference on
                 Communication Systems and Network Technologies
                 (CSNT)}",
  title =        "{VLSI} Implementation of {Euler} Number Computation
                 and Stereo Vision Concept for {CORDIC} based Image
                 Registration",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "269--272",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/CSNT51715.2021.9509639",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "disparity estimation; Hardware; Image recognition;
                 Image registration; Image Registration (IR); Real-time
                 systems; Solid modeling; stereo cost volume (SCV);
                 Stereo Matching; Transform Model Estimation (TME)
                 Guided image Filtering (GIF); Very large scale
                 integration; VHDL",
}

@Article{Raut:2021:CRR,
  author =       "Gopal Raut and Shubham Rai and Santosh Kumar
                 Vishvakarma and Akash Kumar",
  title =        "Correction to {RECON}: Resource-Efficient
                 {CORDIC}-based Neuron Architecture",
  journal =      "IEEE Open Journal of Circuits and Systems",
  volume =       "2",
  pages =        "292--292",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/OJCAS.2021.3056731",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See \cite{Raut:2021:RRE}.",
  acknowledgement = ack-nhfb,
  keywords =     "Circuits and systems; Neurons",
}

@Article{Raut:2021:RRE,
  author =       "Gopal Raut and Shubham Rai and Santosh Kumar
                 Vishvakarma and Akash Kumar",
  title =        "{RECON}: Resource-Efficient {CORDIC}-based Neuron
                 Architecture",
  journal =      "IEEE Open Journal of Circuits and Systems",
  volume =       "2",
  pages =        "170--181",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/OJCAS.2020.3042743",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "See correction \cite{Raut:2021:CRR}.",
  acknowledgement = ack-nhfb,
  keywords =     "AF; Computer architecture; configurable architecture;
                 CORDIC; Faces; Hardware; MAC; Monte Carlo methods;
                 neural network; Neurons; Performance evaluation; Power
                 dissipation",
}

@InProceedings{Saraswathi:2021:VAA,
  author =       "M. Saraswathi and E. Logashanmugam",
  booktitle =    "{2021 Second International Conference on Electronics
                 and Sustainable Communication Systems (ICESC)}",
  title =        "{VLSI} Architecture of Autocorrelation Module for
                 Cyclostationary Detector-{CR}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "196--201",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICESC51422.2021.9532651",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Autocorrelation; Computer architecture; CORDIC;
                 Delays; FFT; LTEA; Matrix Inversion; OFDM; Throughput;
                 Very large scale integration; Wireless networks",
}

@InProceedings{Sergiyenko:2021:FIC,
  author =       "Anatoliy Sergiyenko and Leonid Moroz and Lesya Mychuda
                 and Volodymir Samotyj",
  booktitle =    "{2021 11th IEEE International Conference on
                 Intelligent Data Acquisition and Advanced Computing
                 Systems: Technology and Applications (IDAACS)}",
  title =        "{FPGA} Implementation of {CORDIC} Algorithms for Sine
                 and Cosine Floating-Point Calculations",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "383--386",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/IDAACS53288.2021.9660963",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximation algorithms; Conferences; CORDIC; Costs;
                 Data acquisition; Delays; floating-point; FPGA;
                 Function approximation; Hardware; sine function",
}

@InProceedings{Seyedbarhagh:2021:DRC,
  author =       "Mahsasadat Seyedbarhagh and Arash Ahmadi and Majid
                 Ahmadi",
  booktitle =    "{2021 IEEE International Midwest Symposium on Circuits
                 and Systems (MWSCAS)}",
  title =        "Digital Realization of Ca2+ Oscillation With Impact of
                 Amyloid-",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "665--668",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/MWSCAS47672.2021.9531702",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amyloid beta; Biomembranes; Ca2+ oscillation; CORDIC;
                 field programmable gate array (FPGA); Hardware;
                 Heuristic algorithms; Numerical models; Plasmas;
                 Software; Software algorithms",
}

@InBook{Sharma:2021:ICR,
  author =       "Nitesh Kumar Sharma and Shanti Rathore and M. R.
                 Khan",
  booktitle =    "Proceedings of 6th International Conference on Recent
                 Trends in Computing",
  title =        "Implementation of Coordinate Rotation Digital Computer
                 {(CORDIC)} Processing Unit by Using of {VLSI}
                 Technology",
  publisher =    "Springer Singapore",
  pages =        "543--552",
  year =         "2021",
  DOI =          "https://doi.org/10.1007/978-981-33-4501-0_50",
  ISBN =         "981-334-501-2",
  ISBN-13 =      "978-981-334-501-0",
  ISSN =         "2367-3389",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Usha:2021:AMS,
  author =       "Isukapatla Tara Usha and LGM Prakasam",
  booktitle =    "{2021 IEEE International Conference on Electronics,
                 Computing and Communication Technologies (CONECCT)}",
  title =        "Adaptable Microwave Sensitivity Time Control for
                 Radars using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/CONECCT52877.2021.9622552",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; FPGA; MATLAB; Microwave communication;
                 Microwave theory and techniques; MSTC; Radar; Real-time
                 systems; Receivers; Sensitivity; Table lookup",
}

@Book{VanBrummelen:2021:DTH,
  author =       "Glen {Van Brummelen}",
  title =        "The Doctrine of Triangles: a History of Modern
                 Trigonometry",
  publisher =    pub-PRINCETON,
  address =      pub-PRINCETON:adr,
  pages =        "xvi + 372",
  year =         "2021",
  DOI =          "https://doi.org/10.1515/9780691219875",
  ISBN =         "0-691-17941-7 (hardcover), 0-691-21987-7 (e-book)",
  ISBN-13 =      "978-0-691-17941-4 (hardcover), 978-0-691-21987-5
                 (e-book)",
  LCCN =         "QA24; QA24 .V35 2021",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  abstract =     "An interdisciplinary history of trigonometry from the
                 mid-sixteenth century to the early twentieth,
                 \booktitle{The Doctrine of Triangles} offers an
                 interdisciplinary history of trigonometry that spans
                 four centuries, starting in 1550 and concluding in the
                 1900s. Glen Van Brummelen tells the story of
                 trigonometry as it evolved from an instrument for
                 understanding the heavens to a practical tool, used in
                 fields such as surveying and navigation. In Europe,
                 China, and America, trigonometry aided and was itself
                 transformed by concurrent mathematical revolutions, as
                 well as the rise of science and technology. Following
                 its uses in mid-sixteenth-century Europe as the ``foot
                 of the ladder to the stars'' and the mathematical
                 helpmate of astronomy, trigonometry became a ubiquitous
                 tool for modeling various phenomena, including animal
                 populations and sound waves. In the late sixteenth
                 century, trigonometry increasingly entered the physical
                 world through the practical disciplines, and its
                 societal reach expanded with the invention of
                 logarithms. Calculus shifted mathematical reasoning
                 from geometric to algebraic patterns of thought, and
                 trigonometry's participation in this new mathematical
                 analysis grew, encouraging such innovations as complex
                 numbers and non-Euclidean geometry. Meanwhile in China,
                 trigonometry was evolving rapidly too, sometimes
                 merging with indigenous forms of knowledge, and with
                 Western discoveries. In the nineteenth century,
                 trigonometry became even more integral to science and
                 industry as a fundamental part of the science and
                 engineering toolbox, and a staple subject in high
                 school classrooms. A masterful combination of scholarly
                 rigor and compelling narrative, \booktitle{The Doctrine
                 of Triangles} brings trigonometry's rich historical
                 past full circle into the modern era.",
  acknowledgement = ack-nhfb,
  keywords =     "Anton von Braunm{\"u}hl; Chinese mathematicians;
                 Chinese trigonometry; CORDIC; differential equations;
                 Eli Maor; Erasmus Reinhold; Euler; Fourier series;
                 gradians; haversines; Hipparchus; history of
                 mathematics; history of science; hyperbolic
                 trigonometry; Jesuit mathematicians; Jesuits; law of
                 tangents; math pedagogy; mathematical analysis;
                 mathematical education; oscillatory phenomena; plane
                 astronomy; radians; Regiomontanus; Rheticus; spherical
                 astronomy; Srinivasa Ramanujan; Thomas Fincke;
                 Trigonometric Delights; versines",
  shorttableofcontents = "Frontmatter \\
                 Contents \\
                 Preface \\
                 1. European Trigonometry Comes of Age \\
                 2. Logarithms \\
                 3. Calculus \\
                 4. China \\
                 5. Europe After Euler \\
                 Bibliography \\
                 Index",
  tableofcontents = "European Trigonometry Comes of Age. What's in a
                 name? \\
                 Trigonometric tables evolving \\
                 Algebraic gems by Vi{\`e}te \\
                 New theorems, plane and spherical \\
                 Consolidating the solutions of triangles \\
                 Widening applications \\
                 Logarithms. Napier, Briggs, and the birth of logarithms
                 \\
                 Interlude: Joost B{\"u}rgi's surprising method of
                 calculating a sine table \\
                 The explosion of tables of logarithms \\
                 Computing tables effectively: logarithms \\
                 Computing tables effectively: interpolation \\
                 Napier on spherical trigonometry \\
                 Further theoretical developments \\
                 Developments in notation \\
                 Practical and scientific applications \\
                 Calculus. Quadratures in trigonometry before Newton and
                 Leibniz \\
                 Tangents in Trigonometry before Newton and Leibniz \\
                 Infinite sequences and series in trigonometry \\
                 Transforming the construction of trigonometric tables
                 with series \\
                 Geometric derivatives and integrals of trigonometric
                 functions \\
                 A transition to analytical conceptions \\
                 Euler on the analysis of trigonometric functions \\
                 Euler on spherical trigonometry \\
                 China. Indian and Islamic trigonometry in China \\
                 Indigenous Chinese geometry \\
                 Indigenous Chinese trigonometry \\
                 The Jesuits arrive \\
                 Trigonometry in the Chongzhen lishu \\
                 Logarithms in China \\
                 The Kangxi Period and Mei Wending \\
                 Dai Zhen: philology encounters mathematics \\
                 Infinite series \\
                 Europe after Euler. Normal science: gap filling in
                 spherical trigonometry \\
                 Symmetry and unity \\
                 The return of stereographic projection \\
                 Surveying and Legendre's theorem \\
                 Trigonometry in navigation \\
                 Tables \\
                 Fourier series \\
                 Concerns about negativity \\
                 Education",
}

@InProceedings{Vijay:2021:ILC,
  author =       "Vishnu Vijay and Rohan Mathew George and Sneha Joe and
                 Swetha Shajee Dominic and Riya Mary Joseph and Sherin
                 Sunil Jose and Simi Zerine Sleeba and Arun Ashok",
  booktitle =    "{2021 International Conference on Advances in
                 Computing and Communications (ICACC)}",
  title =        "Implementation of Low Complexity Signal Tracking Loop
                 of a {GPS} Receiver Using {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICACC-202152719.2021.9708191",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Codes; Computer architecture; Coordinate Rotation
                 Digital Computer(CORDIC); Global Navigation Satellite
                 System(GNSS); Global Positioning System(GPS); Harmonic
                 analysis; Industries; Integrate and Dump(InD);
                 Numerically Controlled Oscillator(NCO); Receivers;
                 Satellites; Tracking loops",
}

@InProceedings{Vucha:2021:CAD,
  author =       "Mahendra Vucha and A. L. Siridhara",
  booktitle =    "{2021 6th International Conference on Communication
                 and Electronics Systems (ICCES)}",
  title =        "{CORDIC} Architecture for Discrete Cosine Transform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "229--232",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICCES51350.2021.9488945",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Computer architecture; CORDIC
                 Processor; Digital Signal Processor; Discrete Cosine
                 Transform; FPGA; General Purpose Processor; Hardware;
                 Hardware Architecture; Image processing; Multimedia
                 computing; Signal processing algorithms; Taylor
                 series",
}

@InProceedings{Wang:2021:IDV,
  author =       "Long Wang and Jin Pan and Qianlin Yang and Yan Liu and
                 Xinyang Ji",
  booktitle =    "{2021 IEEE 5th Advanced Information Technology,
                 Electronic and Automation Control Conference (IAEAC)}",
  title =        "Implementation of Direction and Velocity Measurement
                 Algorithm for Moving Target Based on {FPGA}",
  volume =       "5",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1408--1412",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/IAEAC50856.2021.9390814",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithm; direction finding; Doppler radar;
                 FFT; Field programmable gate arrays; FPGA; Frequency
                 measurement; Frequency modulation; Phase measurement;
                 System-on-chip; velocity measurement; Velocity
                 measurement",
}

@Article{Wu:2021:EDS,
  author =       "Jiajun Wu and Yi Zhan and Zixuan Peng and Xinglong Ji
                 and Guoyi Yu and Rong Zhao and Chao Wang",
  title =        "Efficient Design of Spiking Neural Network With {STDP}
                 Learning Based on Fast {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "68",
  number =       "6",
  pages =        "2522--2534",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/TCSI.2021.3061766",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Biological neural networks; Computational modeling;
                 fast-convergence CORDIC; Hardware; Image coding; LIF
                 neuron; neuromorphic hardware; neuron circuit; Neurons;
                 on-line learning; spiking neural network; STDP;
                 Synapses; Synaptic circuit; Training",
}

@InProceedings{Xu:2021:LCA,
  author =       "Jin Xu and Lin Jiang and Hui Chen and Yuxiang Fu and
                 Li Li",
  booktitle =    "{2021 18th International SoC Design Conference
                 (ISOCC)}",
  title =        "A Low-Complexity Architecture for Implementing Square
                 to Tenth Root of Complex Numbers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "15--16",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ISOCC53507.2021.9613873",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "complex number Nth root; Complexity theory; Computer
                 architecture; Convergence; CORDIC; Digital computers;
                 Hardware; high efficiency; high precision; low hardware
                 complexity; Power demand; Quantization (signal)",
}

@InProceedings{Yifen:2021:PDC,
  author =       "Peng Yifen and Huan Sha and Cao Zhong and Wu Limei and
                 Yang Chao",
  booktitle =    "{2021 IEEE 4th International Conference on Electronics
                 Technology (ICET)}",
  title =        "Precise Delay Calibration of Wideband Digital Array
                 Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "373--377",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICET51757.2021.9451117",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Calibration; Cordic; delay calibration; Delays; FPGA;
                 frequency estimation; Frequency measurement; Hardware;
                 Iterative algorithms; iterative CZT; Radar;
                 Time-frequency analysis",
}

@InProceedings{Yildiz:2021:CAR,
  author =       "Recep Onur Y{\i}ld{\i}z and Ayse Yilmazer-Metin",
  editor =       "{IEEE}",
  booktitle =    "{2021 29th Telecommunications Forum (TELFOR)}",
  title =        "{CORDIC} Accelerator for {RISC-V}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/TELFOR52709.2021.9653439",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/risc-v.bib",
  acknowledgement = ack-nhfb,
  keywords =     "cordic. accelerator; Digital computers; Frequency
                 conversion; Memory management; Power demand; risc-v;
                 sdr; Software; Systolic arrays; Transceivers",
}

@InProceedings{Yu:2021:RMI,
  author =       "Xiaoyu Yu and Shulin Tian and Hao Zeng and Yu Tian and
                 Peng Ye and Lianping Guo and Shengyi Zhang and Meng
                 Wang",
  booktitle =    "{2021 IEEE 15th International Conference on Electronic
                 Measurement \& Instruments (ICEMI)}",
  title =        "Research on Method of Instantaneous Frequency
                 Measurement Based on {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "245--249",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ICEMI52946.2021.9679627",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Data models; FPGA; Frequency measurement;
                 Information processing; instantaneous frequency
                 measurement; Instruments; Real-time systems;
                 Semiconductor device measurement; Stability analysis",
}

@InProceedings{Zhao:2021:CBH,
  author =       "Zikun Zhao and Qiuming Zhu and Chen Fang and Shuangyi
                 Yan and Tongbao Mao and Xiaomin Chen",
  booktitle =    "{2021 13th International Symposium on Antennas,
                 Propagation and EM Theory (ISAPE)}",
  title =        "{CORDIC}-based Hardware Twin Method for {Loo} and
                 {Corazza} Fading Channels",
  volume =       "Volume1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--3",
  year =         "2021",
  DOI =          "https://doi.org/10.1109/ISAPE54070.2021.9753288",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antenna measurements; Antenna theory; Channel twin;
                 CORDIC; Fading channels; Generators; Hardware;
                 large-scale fading; Satellite communication;
                 statistical properties; Table lookup",
}

@InProceedings{Anil:2022:AEV,
  author =       "Roshan Anil and Puppala Pavan Venkata Adi Sampath and
                 P. Sathish Kumar",
  booktitle =    "{2022 IEEE 3rd International Conference on VLSI
                 Systems, Architecture, Technology and Applications
                 (VLSI SATA)}",
  title =        "Area Efficient {VLSI} design for image processing
                 using the modified {CORDIC} algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/VLSISATA54927.2022.10046477",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC algorithm; Digital computers; FPGA (Field
                 programmable gate array); Image processing; Logic
                 gates; Real-time systems; Silicon; Systems
                 architecture; Very large scale integration; VLSI
                 Architecture",
}

@InProceedings{Bose:2022:SPA,
  author =       "Sudipta Bose",
  booktitle =    "{2022 IEEE International Conference for Women in
                 Innovation, Technology \& Entrepreneurship (ICWITE)}",
  title =        "Signal Processing Architecture for Real-time
                 Earthquake Early Warning System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ICWITE57052.2022.10176234",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adaptive algorithms; Adaptive filters; Common
                 Subexpression Elimination (CSE); CORDIC; Decision
                 making; Earthquake Early Warning System (EEWS);
                 Earthquakes; Finite impulse response filters; Robust
                 Adaptive filters; Signal processing; Signal processing
                 algorithms",
}

@InProceedings{Changela:2022:MRC,
  author =       "Ankur Changela and Yogesh Kumar",
  booktitle =    "{2022 5th International Conference on Contemporary
                 Computing and Informatics (IC3I)}",
  title =        "A Modified Radix-16 {CORDIC} Algorithm-based Direct
                 Digital Frequency Synthesizer",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1153--1157",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/IC3I56241.2022.10072732",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Digital-to-Analog Converter (DAC);
                 Frequency synthesizers; Hardware; High-radix CORDIC;
                 Informatics; Low-pass Filter (LPF); Memory management;
                 Phase accumulator; Pipelined architecture; Resource
                 management; Scaling-free; Table lookup",
}

@InProceedings{Cheduluri:2022:LCH,
  author =       "Ganesh Cheduluri and Swati Bhardwaj and Ganesh R. Naik
                 and Vidhumouli Hansigida and Appa Rao Nali and Amit
                 Acharyya",
  booktitle =    "{2022 20th IEEE Interregional NEWCAS Conference
                 (NEWCAS)}",
  title =        "Low complex Hardware Architecture Design Methodology
                 for Cubic Spline Interpolation Technique for Assistive
                 Technologies",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "70--74",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/NEWCAS52662.2022.9842110",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Classification algorithms; Co-ordinate Rotation
                 Digital Computer(CORDIC); Complexity theory; Computer
                 architecture; Cubic Spline Interpolation; Empirical
                 Mode Decomposition; Hardware; Interpolation; Intrinsic
                 Mode Function; Resource management; Surface EMG signal;
                 Tridiagonal Matrix Algorithm; Very large scale
                 integration",
}

@InProceedings{Choudhury:2022:HIL,
  author =       "Rituparna Choudhury and Shaik Rafi Ahamed and
                 Prithwijit Guha",
  booktitle =    "{2022 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Hardware Implementation of Low Complexity High-speed
                 Perceptron Block",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "26--30",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ISCAS48785.2022.9937758",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ASIC; Circuits and systems; Computer architecture;
                 CORDIC; Digital computers; FPGA; Hardware; Machine
                 Learning; Neural networks; Offset Binary Coding;
                 Perceptron hardware; Programmable logic arrays;
                 Software",
}

@Article{Delosme:2022:SFG,
  author =       "Jean-Marc Delosme",
  title =        "A Signal Flow Graph Approach to the Resolution of
                 Spherical Triangles Using {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "69",
  number =       "12",
  pages =        "5159--5170",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/TCSI.2022.3201746",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Arithmetic; Codes; CORDIC; Flow graphs; great-circle
                 navigation; hyperbolic Cholesky; joint angle
                 calculation; Mason rules; Mathematical models;
                 Navigation; partial correlation; solution-flow diagram;
                 spherical palm kinematics; Spherical trigonometry;
                 square-root normalized ladder; Synthetic aperture
                 sonar; Technological innovation",
}

@InBook{deMoura:2022:IPS,
  author =       "Bruno F. de Moura and Adriana M. M. da Mata and Marcio
                 F. Martins and Francisco H. S. Palma and Rog{\'e}rio
                 Ramos",
  booktitle =    "Multiphase Flow Dynamics",
  title =        "Implementation of a Phase-Sensitive Detector with
                 {CORDIC} Algorithm in Microcontrollers for Low-Cost
                 {EIT} Demodulation Procedure",
  publisher =    "Springer International Publishing",
  pages =        "101--109",
  year =         "2022",
  DOI =          "https://doi.org/10.1007/978-3-030-93456-9_9",
  ISBN =         "3-030-93456-X",
  ISBN-13 =      "978-3-030-93456-9",
  ISSN =         "2195-4364",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Diwaker:2022:MSA,
  author =       "Ritesh Diwaker and Deepak Asrani",
  booktitle =    "{2022 IEEE International Conference on Current
                 Development in Engineering and Technology (CCET)}",
  title =        "Multimedia Security in Audio Signals",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/CCET56606.2022.10080309",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Distortion; Encoding; Encryption; Fast Fourier
                 Transformation; Feature extraction; PSNR; Q-R Cordic
                 decomposition; Robustness; Watermark Embedding;
                 Watermark encryption; Watermark Extraction;
                 Watermarking; Watermarking in Audio Signals",
}

@InBook{Dukhnich:2022:UAS,
  author =       "Evgeny Dukhnich",
  booktitle =    "Applications in Electronics and Computing Systems",
  title =        "A Unified Approach to the Synthesis of
                 Hypercomplex-Valued {CORDIC-Like} Algorithms",
  publisher =    "Springer International Publishing",
  pages =        "1--10",
  month =        nov,
  year =         "2022",
  DOI =          "https://doi.org/10.1007/978-3-031-20631-3_1",
  ISBN =         "3-031-20631-2",
  ISBN-13 =      "978-3-031-20631-3",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Falkenberg:2022:EID,
  author =       "Andreas Falkenberg",
  booktitle =    "{2022 24th International Microwave and Radar
                 Conference (MIKON)}",
  title =        "Efficient Implementation of a Digital Chirp
                 Generator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2022",
  DOI =          "https://doi.org/10.23919/MIKON54314.2022.9924956",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Chirp; chirp; cordic; FMCW; Generators; Hardware;
                 Interpolation; Microwave circuits; Microwave theory and
                 techniques; radar; Radar applications",
}

@InProceedings{Hu:2022:CBF,
  author =       "Xionglong Hu and Jinhua Chen and Jun Tang and Hai
                 Qiao",
  booktitle =    "{ECITech 2022; The 2022 International Conference on
                 Electrical, Control and Information Technology, 25--27
                 March 2022, Kunming, China}",
  title =        "{CORDIC}-based {FOC} control of polar coordinate
                 systems and {FPGA} implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2022",
  ISBN =         "3-8007-5916-0",
  ISBN-13 =      "978-3-8007-5916-3",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://ieeexplore.ieee.org/document/10026076/",
  acknowledgement = ack-nhfb,
}

@InBook{Jahangir:2022:DAD,
  author =       "Mohd Ziauddin Jahangir and Chandra Sekhar Paidimarry
                 and Md. Sikander and M. V. Shravanthi",
  booktitle =    "Advances in Signal Processing and Communication
                 Engineering",
  title =        "Design of an All Digital Phase-Locked Loop Using
                 {CORDIC} Algorithm",
  publisher =    "Springer Nature Singapore",
  pages =        "143--149",
  year =         "2022",
  DOI =          "https://doi.org/10.1007/978-981-19-5550-1_14",
  ISBN =         "981-19555-0-6",
  ISBN-13 =      "978-981-19555-0-1",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Khachumov:2022:OCF,
  author =       "Mikhail Khachumov and Alexander Talalaev and Alexander
                 Pankratov",
  booktitle =    "{2022 International Conference on Industrial
                 Engineering, Applications and Manufacturing (ICIEAM)}",
  title =        "Onboard Computer Function Implementation Based on
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "795--800",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ICIEAM54945.2022.9787152",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Autonomous aerial vehicles; Computers; CORDIC
                 algorithms; Data processing; onboard computer; parallel
                 and pipeline computation; Routing; Search problems;
                 Software; Software algorithms; specialized and
                 universal computing units; unmanned aerial vehicle",
}

@Article{Lu:2022:EHI,
  author =       "Hoang-Yang Lu and Mao-Hsu Yen and Che-Wei Chang and
                 Chung-Wei Cheng and Tzu-Ching Hsu and Yu-Chi Lin",
  title =        "Efficient Hardware Implementation of {CORDIC}-based
                 Symbol Detector for {GSM} {MIMO} Systems: Algorithm and
                 Hardware Architecture",
  journal =      j-IEEE-ACCESS,
  volume =       "10",
  pages =        "114232--114241",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ACCESS.2022.3217523",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Computer architecture; CORDIC; Detectors; Givens
                 rotation; GSM; GSM MIMO; Hardware; MIMO communication;
                 symbol detector; Symbols; Transmitting antennas",
}

@InProceedings{Mishra:2022:DIL,
  author =       "Saras Mani Mishra and Hanumant Singh Shekhawat and
                 Gaurav Trivedi and Pidanic Jan and Zdenek Nemec",
  booktitle =    "{2022 32nd International Conference Radioelektronika
                 (RADIOELEKTRONIKA)}",
  title =        "Design and Implementation of a Low Power Area
                 Efficient {Bfloat16} based {CORDIC} Processor",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/RADIOELEKTRONIKA54537.2022.9764911",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Adders; Bfloat16; Computer architecture; Convergence;
                 Coordinate Systems; CORDIC; Delays; Digital computers;
                 Field programmable gate arrays; Floating-point
                 Representation; Hardware; Trigonometric function",
}

@Article{Mohamed:2022:FIR,
  author =       "Sara M. Mohamed and Wafaa S. Sayed and Ahmed G. Radwan
                 and Lobna A. Said",
  title =        "{FPGA} Implementation of Reconfigurable {CORDIC}
                 Algorithm and a Memristive Chaotic System With
                 Transcendental Nonlinearities",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "69",
  number =       "7",
  pages =        "2885--2892",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/TCSI.2022.3165469",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Adders; Chaotic communication; Chaotic systems;
                 Computer architecture; CORDIC; Field programmable gate
                 arrays; FPGA; Hardware; reconfigurable; Signal
                 processing algorithms; Trajectory; transcendental",
}

@InProceedings{Mohanty:2022:DIH,
  author =       "Kalinga Mohanty and D. Ramesh Reddy and Chitra P and
                 Ramana Reddy G and P. Ravi Sankar and K. Prakash",
  booktitle =    "{2022 3rd International Conference for Emerging
                 Technology (INCET)}",
  title =        "Design and Implementation of High Frequency Functional
                 Signal Generator using {DDFS} based on 16-stage
                 pipelined {CORDIC} architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/INCET54531.2022.9825431",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Coordinate Rotation Digital
                 Computer (CORDIC); Digital computers; Direct Digital
                 Frequency Synthesis (DDFS); Frequency synthesizers;
                 Look Up Table (LUT); Numerically Controlled Oscillator
                 (NCO); Signal generators; Signal processing algorithms;
                 Table lookup; Throughput",
}

@InProceedings{Nair:2022:FIA,
  author =       "Harshita Nair and Anu Chalil",
  booktitle =    "{2022 6th International Conference on Computing
                 Methodologies and Communication (ICCMC)}",
  title =        "{FPGA} Implementation of Area and Speed Efficient
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "512--518",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ICCMC53470.2022.9753730",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; CSA; CSLA; Digital
                 computers; Digital signal processing; HanCarlson;
                 Hardware; Ladner Fischer; Logic gates; Performance
                 evaluation; Signal processing algorithms; Slansky",
}

@Misc{Nawandar:2022:SCC,
  author =       "Neha K. Nawandar and Vishal R. Satpute",
  title =        "A study and comparison of {COordinate Rotation DIgital
                 Computer (CORDIC)} architectures",
  year =         "2022",
  DOI =          "https://doi.org/10.48550/arXiv.2211.04053",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2211.04053",
  abstract =     "Most of the digital signal processing applications
                 performs operations like multiplication, addition,
                 square-root calculation, solving linear equations etc.
                 The physical implementation of these operations
                 consumes a lot of hardware and, software implementation
                 consumes large memory. Even if they are implemented in
                 hardware, they do not provide high speed, and due to
                 this reason, even today the software implementation
                 dominates hardware. For realizing operations from basic
                 to very complex ones with less hardware, a Co-ordinate
                 Rotation Digital Computer (CORDIC) proves beneficial.
                 It is capable of performing mathematical operations
                 right from addition to highly complex functions with
                 the help of arithmetic unit and shifters only. This
                 paper gives a brief overview of various existing CORDIC
                 architectures, their working principle, application
                 domain and a comparison of these architectures.
                 Different designs are available as per the target, i.e.
                 high accuracy and precision, low area, low latency,
                 hardware efficient, low power, reconfigurability, etc.
                 that can be used as per the application in which the
                 architecture needs to be employed.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "2211.04053",
  primaryclass = "cs.AR",
}

@InProceedings{Puntsri:2022:RAG,
  author =       "Kidsananapong Puntsri and Bussakorn Bunsri and
                 Yaowarat Pittayang and Tanatip Bubpawan and Wuttichai
                 Partipralam and Watid Phakphisut",
  booktitle =    "{2022 37th International Technical Conference on
                 Circuits/Systems, Computers and Communications
                 (ITC-CSCC)}",
  title =        "Reconfigurable {AWGN} Generator Using {Box--Muller}
                 Method with {CORDIC}-based Square Root Calculation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/ITC-CSCC55581.2022.9894924",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Box--Muller Method; CODIC method; Gaussian Noise
                 Generator; Generators; Noise generators; Parity check
                 codes; Polar codes; Real-time systems; Registers; Table
                 lookup",
}

@InBook{Ramani:2022:DDI,
  author =       "Narnindi Ramani and Saroj Mondal",
  booktitle =    "{VLSI} Design and Test",
  title =        "A Deep Dive into {CORDIC} Architectures to Implement
                 Trigonometric Functions",
  publisher =    "Springer Nature Switzerland",
  address =      "",
  pages =        "551--561",
  year =         "2022",
  DOI =          "https://doi.org/10.1007/978-3-031-21514-8_45",
  ISBN =         "3-031-21514-1",
  ISBN-13 =      "978-3-031-21514-8",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Satheeswaran:2022:DOD,
  author =       "V. Satheeswaran and A. Reethika and B. Gomathy and M.
                 A. Raja and S. Prabu",
  booktitle =    "{2022 IEEE North Karnataka Subsection Flagship
                 International Conference (NKCon)}",
  title =        "Design of Optimized $ \pi / 4 $ {DQPSK} Modem using
                 Fuzzy Based {CORDIC} Algorithm for {MIMO} Radar",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/NKCon56289.2022.10126780",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "$\Pi/4$ DQPSK; AWgn Channel; BER; CORDIC; Costs;
                 Hardware; MIMO radar; Modems; Phase noise; Software
                 packages; VHDL",
}

@Article{Sharma:2022:LLR,
  author =       "Rahul Sharma and Rahul Shrestha and Satinder K.
                 Sharma",
  title =        "Low-Latency and Reconfigurable {VLSI}-Architectures
                 for Computing Eigenvalues and Eigenvectors Using
                 {CORDIC}-based Parallel {Jacobi} Method",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "30",
  number =       "8",
  pages =        "1020--1033",
  year =         "2022",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2022.3170526",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Computer architecture; Coordinate rotations
                 digital-computer (CORDIC); Costs; digital VLSI
                 architectures; eigenvalues; Eigenvalues and
                 eigenfunctions; eigenvectors; field-programmable gate
                 array (FPGA); Hardware; Jacobian matrices; Low latency
                 communication; matrix theory; Very large scale
                 integration; vLSI",
}

@InBook{Tarasov:2022:CAF,
  author =       "Ilya Tarasov and Dmitry Potekhin",
  booktitle =    "High-Performance Computing Systems and Technologies in
                 Scientific Research, Automation of Control and
                 Production",
  title =        "Calculation of Activation Functions in {FPGA}-Based
                 Neuroprocessors Using the {CORDIC} Algorithm",
  publisher =    "Springer International Publishing",
  pages =        "13--20",
  year =         "2022",
  DOI =          "https://doi.org/10.1007/978-3-030-94141-3_2",
  ISBN =         "3-030-94141-8",
  ISBN-13 =      "978-3-030-94141-3",
  ISSN =         "1865-0937",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Udeji:2022:FIA,
  author =       "Uchechukwu Leo Udeji and Martin Margala",
  booktitle =    "{2022 IEEE 35th International System-on-Chip
                 Conference (SOCC)}",
  title =        "{FPGA} Implementation of Addition-based {CORDIC-SNN}
                 With {Izhikevich} Neurons",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/SOCC56010.2022.9908081",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Backpropagation; Biological system modeling;
                 Complexity theory; Computational modeling; CORDIC
                 algorithm; Energy efficiency; Firing; FPGA; Izhikevich
                 neuron model; Neuromorphic computing; Neurons; Spiking
                 neural networks; STDP; Training",
}

@InProceedings{Vakil:2022:TCB,
  author =       "Ardavan Vakil and Miad Faezipour",
  booktitle =    "{2022 International Conference on Computational
                 Science and Computational Intelligence (CSCI)}",
  title =        "Toward {CORDIC}-based Hyperbolic Function
                 Implementation for Neural Engineering Hardware",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "416--418",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/CSCI58124.2022.00080",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Biological system modeling; Computational modeling;
                 CORDIC; Hardware; hyperbolic functions; Mathematical
                 models; Neural engineering; neuronal spiking patterns;
                 Real-time systems; Scientific computing; trigonometric
                 functions",
}

@InProceedings{Verma:2022:RVC,
  author =       "Anu Verma and Priyamvada Sharma and Bishnu Prasad
                 Das",
  booktitle =    "{2022 25th Euromicro Conference on Digital System
                 Design (DSD)}",
  title =        "{RISC-V} Core with Approximate Multiplier for
                 Error-Tolerant Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "239--246",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/DSD57027.2022.00040",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/risc-v.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximate multiplier; Computer architecture;
                 Coordinate rotation digital computer (CORDIC); Digital
                 computers; Hardware description language (HDL);
                 Instruction sets; Libraries; Microprocessors; Power
                 demand; Reduced instruction set architecture; Signal
                 processing algorithms",
}

@InProceedings{Vinh:2022:FIT,
  author =       "Truong Quang Vinh and Tran Ba Thanh and Dang Hoang
                 Viet",
  booktitle =    "{2022 9th NAFOSTED Conference on Information and
                 Computer Science (NICS)}",
  title =        "{FPGA} Implementation of Trigonometric Function Using
                 Loop-Optimized Radix-4 {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "217--222",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/NICS56915.2022.10013467",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer science; Convolution; Convolutional neural
                 networks; CORDIC; FPGA; Hardware; hardware
                 implementation; Performance evaluation; Quaternions;
                 Radix-4 CORDIC; Signal processing algorithms;
                 trigonometric function",
}

@Article{Wang:2022:HAE,
  author =       "Jipeng Wang and Zixuan Peng and Yi Zhan and Yujie Li
                 and Guoyi Yu and Kwen-Siong Chong and Chao Wang",
  title =        "A High-Accuracy and Energy-Efficient {CORDIC} Based
                 {Izhikevich} Neuron With Error Suppression and
                 Compensation",
  journal =      "IEEE Transactions on Biomedical Circuits and Systems",
  volume =       "16",
  number =       "5",
  pages =        "807--821",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/TBCAS.2022.3191004",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "biological neuron model; Biological system modeling;
                 Brain modeling; brain-like neural network;
                 Computational modeling; CORDIC; Energy efficiency;
                 error analysis; fixed-point design optimization; FPGA;
                 Hardware; Izhikevich neuron model; Linear systems;
                 neuromorphic; Neurons; spiking neural network",
}

@Article{Wu:2022:LLL,
  author =       "Ruiqi Wu and Hui Chen and Guoqiang He and Yuxiang Fu
                 and Li Li",
  title =        "Low-Latency Low-Complexity Method and Architecture for
                 Computing Arbitrary Nth Root of Complex Numbers",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "69",
  number =       "6",
  pages =        "2529--2541",
  year =         "2022",
  DOI =          "https://doi.org/10.1109/TCSI.2022.3157308",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Complex; Complexity theory; Computer architecture;
                 CORDIC; Delays; Hardware; hardware design; Low latency
                 communication; Matlab; Nth root; parabolic synthesis;
                 Software",
}

@Article{Zhang:2022:URB,
  author =       "Zihan Zhang and Jianfei Jiang and Yongxin Zhu and Qin
                 Wang and Zhigang Mao and Naifeng Jing",
  title =        "A Universal {RRAM}-based {DNN} Accelerator With
                 Programmable Crossbars Beyond {MVM} Operator",
  journal =      j-IEEE-TRANS-CAD-ICS,
  volume =       "41",
  number =       "7",
  pages =        "2094--2106",
  year =         "2022",
  CODEN =        "ITCSDI",
  DOI =          "https://doi.org/10.1109/TCAD.2021.3107252",
  ISSN =         "0278-0070 (print), 1937-4151 (electronic)",
  ISSN-L =       "0278-0070",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Computer-Aided Design of
                 Integrated Circuits and Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=43",
  keywords =     "Biological system modeling; Central Processing Unit;
                 Computational modeling; Coordinated rotation digital
                 computer (CORDIC); deep neural network (DNN); Graphics
                 processing units; Integrated circuit modeling;
                 multi-iteration; resistive-RAM (RRAM); Semiconductor
                 device modeling; Throughput; transcendental
                 activation",
}

@Article{Zhao:2022:HPR,
  author =       "Yupu Zhao and Hong Lv and Jun Li and Lulu Zhu",
  title =        "High performance and resource efficient {FFT}
                 processor based on {CORDIC} algorithm",
  journal =      j-EURASIP-J-ADV-SIGNAL-PROCESS,
  volume =       "2022",
  number =       "1",
  month =        mar,
  year =         "2022",
  DOI =          "https://doi.org/10.1186/s13634-022-00855-6",
  ISSN =         "1687-6180",
  ISSN-L =       "1687-6172",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "EURASIP Journal on Advances in Signal Processing",
  journal-URL =  "http://asp.eurasipjournals.springeropen.com/",
}

@InProceedings{Bhardwaj:2023:LCC,
  author =       "Swati Bhardwaj and Diptasri Ghosh and Debeshi Dutta
                 and Ganesh Cheduluri and Vidhumauli Hansigida and Appa
                 Rao Nali and Amit Acharyya",
  booktitle =    "{2023 45th Annual International Conference of the IEEE
                 Engineering in Medicine \& Biology Society (EMBC)}",
  title =        "Low Complex {CORDIC}-based Hand Movement Recognition
                 Design Methodology for Rehabilitation and Prosthetic
                 Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/EMBC40787.2023.10340238",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Classification algorithms; Clustering algorithms;
                 Computer architecture; Design methodology; EEMD;
                 Electromyography; FastICA; Hardware; K-Means
                 clustering; LDA; Target recognition",
}

@InProceedings{Carmel:2023:RBA,
  author =       "Ariya Carmel and Adersh V R",
  booktitle =    "{2023 International Conference on Control,
                 Communication and Computing (ICCC)}",
  title =        "Reconfigurable Beamforming Architecture for Ultrasound
                 Imaging",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICCC57789.2023.10164972",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; beamforming; CORDIC IP core;
                 DAS; Delays; DMAS; FBRA; Hardware; pipelined
                 non-restoring algorithm; reconfigurable beamforming;
                 Software; Software algorithms; Transducers; Ultrasonic
                 imaging; ultrasound imaging",
}

@InProceedings{Chang:2023:ULP,
  author =       "Yaoxing Chang and Petar Jokic and Stephane Emery and
                 Luca Benini",
  booktitle =    "{2023 Design, Automation \& Test in Europe Conference
                 \& Exhibition (DATE)}",
  title =        "An Ultra-Low-Power Serial Implementation for Sigmoid
                 and Tanh Using {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--2",
  year =         "2023",
  DOI =          "https://doi.org/10.23919/DATE56975.2023.10136960",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial neural networks; Computer architecture;
                 CORDIC; edgeML; Heuristic algorithms; Power demand;
                 serial architecture; sigmoid; Table lookup; tanh; Task
                 analysis; Throughput",
}

@Article{Changela:2023:NAS,
  author =       "Ankur Changela and Mazad Zaveri and Yogesh Kumar",
  title =        "A New Angle Set-Based Absolute Scaling-free
                 Reconfigurable {CORDIC} Algorithm",
  journal =      j-CSSP,
  volume =       "42",
  number =       "12",
  pages =        "7404--7432",
  month =        jul,
  year =         "2023",
  CODEN =        "CSSPEH",
  DOI =          "https://doi.org/10.1007/s00034-023-02452-w",
  ISSN =         "1531-5878",
  ISSN-L =       "0278-081X",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Circuits, systems, and signal processing: {CSSP}",
  journal-URL =  "http://link.springer.com/journal/34",
}

@Article{Changela:2023:RCA,
  author =       "Ankur Changela and Yogesh Kumar and Marcin Wo niak and
                 Jana Shafi and Muhammad Fazal Ijaz",
  title =        "Radix-4 {CORDIC} algorithm based low-latency and
                 hardware efficient {VLSI} architecture for {$N$}-th
                 root and {$N$}-th power computations",
  journal =      j-SCI-REP,
  volume =       "13",
  number =       "1",
  month =        nov,
  year =         "2023",
  CODEN =        "SRCEC3",
  DOI =          "https://doi.org/10.1038/s41598-023-47890-3",
  ISSN =         "2045-2322",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Scientific Reports",
  journal-URL =  "http://www.nature.com/srep/",
}

@Article{Chen:2023:DPR,
  author =       "Woei-Luen Chen and Guan-Ling Chen",
  title =        "A Direct Phase Resolver With Zero Transient Against
                 Phase Jump and Variable Frequency Conditions",
  journal =      j-IEEE-TRANS-INSTRUM-MEAS,
  volume =       "72",
  pages =        "1--10",
  year =         "2023",
  CODEN =        "IEIMAO",
  DOI =          "https://doi.org/10.1109/TIM.2022.3229715",
  ISSN =         "0018-9456 (print), 1557-9662 (electronic)",
  ISSN-L =       "0018-9456",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Instrumentation and Measurement",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=19",
  keywords =     "Aerospace electronics; Basis function; COordinate
                 Rotation DIgital Computer (CORDIC); Fitting;
                 Generators; Phase detection; phase detection; phase
                 jump; Phase locked loops; phase-locked loops (PLLs);
                 polar coordinate; Satellites; Transient analysis;
                 variable frequency",
}

@Article{Chen:2023:QES,
  author =       "Yi-Ta Chen and Yu-Chuan Chuang and Li-Sheng Chang and
                 An-Yeu Wu",
  title =        "{S-QRD-ELM}: Scalable {$ Q R $}-Decomposition-based
                 Extreme Learning Machine Engine Supporting Online
                 Class-Incremental Learning for {ECG}-based User
                 Identification",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "70",
  number =       "6",
  pages =        "2342--2355",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/TCSI.2023.3253705",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "class incremental learning; CORDIC; ECG user
                 identification; Electrocardiography; Energy efficiency;
                 Engines; extreme learning machine; Extreme learning
                 machines; Industrial Internet of Things; Object
                 recognition; online learning; QR-decomposition;
                 Training",
}

@InProceedings{Chetana:2023:VIC,
  author =       "Chetana and Sharmila K P",
  booktitle =    "{2023 Third International Conference on Artificial
                 Intelligence and Smart Energy (ICAIS)}",
  title =        "{VLSI} Implementation of Coordinate Rotation Based
                 Design Methodology using {Verilog HDL}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1574--1581",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICAIS56108.2023.10073928",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC- Coordinate rotation
                 digital computer; Digital computers; FPGA- Field
                 programmable gate array; Hardware; Power demand;
                 Throughput; Timing; Very large scale integration",
}

@InProceedings{Chi:2023:PIM,
  author =       "Shuli Chi and Sammy Johnatan Carbajal Ipenza and
                 Ulrich Muehlmann",
  booktitle =    "{2023 Austrochip Workshop on Microelectronics
                 (Austrochip)}",
  title =        "Phase-independent maximum modulation level extraction
                 in {IQ} receivers",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "14--17",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/Austrochip61217.2023.10285165",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "channel combiner; CORDIC; FeliCa; Filtering; Hardware;
                 magnitude receiver; Microelectronics; Phase modulation;
                 Receivers; RFID; Robustness; Signal processing
                 algorithms",
}

@Article{Dang:2023:PRF,
  author =       "Trung-Hieu Dang and Van-Nghia Tran and Le-Cuong
                 Nguyen",
  title =        "A parallel rotator for {FFT\slash IFFT} applied in
                 multi-carrier wireless communication systems",
  journal =      j-DIGIT-SIGNAL-PROCESS,
  volume =       "141",
  pages =        "104190",
  year =         "2023",
  CODEN =        "DSPREJ",
  DOI =          "https://doi.org/10.1016/j.dsp.2023.104190",
  ISSN =         "1051-2004 (print), 1095-4333 (electronic)",
  ISSN-L =       "1051-2004",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1051200423002853",
  acknowledgement = ack-nhfb,
  fjournal =     "Digital Signal Processing",
  journal-URL =  "https://www.sciencedirect.com/journal/digital-signal-processing",
  keywords =     "5G new radio; Coordinate rotation digital computer
                 (CORDIC); Digital video broadcasting (DVB); Fast
                 Fourier transform (FFT); FPGA; Orthogonal frequency
                 division multiplexing (OFDM)",
}

@InProceedings{Deng:2023:HOQ,
  author =       "Juinn-Horng Deng and Pavan Vatal Shankar Prasad and
                 Yuan-Pei Wang and Min-Sion Yang and T. N. Vyas Kaushik
                 and P. R. Siva Prasanna",
  booktitle =    "{2023 46th International Conference on
                 Telecommunications and Signal Processing (TSP)}",
  title =        "High-Order {QAM\slash APSK} Receiver Design with Blind
                 {CFO} Estimator of {CORDIC}-based High-Order Power
                 Pre-Processor for {LEO} Satellite Communications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/TSP59544.2023.10197808",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "6G mobile communication; Blind CFO estimator; CORDIC;
                 Doppler effect; Frequency estimation; Hardware;
                 High-order power operation; High-order QAM/APSK; Hybrid
                 power systems; LEO satellite Communications; Low earth
                 orbit satellites; Software defined radio (SDR);
                 Transceivers",
}

@InProceedings{Deng:2023:SIR,
  author =       "Juinn-Horng Deng and T. N. Vyas Kaushik and P. R. Siva
                 Prasanna and Min-Sion Yang and Pavan Vatal Shankar
                 Prasad",
  booktitle =    "{2023 International Conference on Consumer Electronics
                 --- Taiwan (ICCE-Taiwan)}",
  title =        "Study of Iterations Reduction for {CORDIC} Computation
                 with More Accuracy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "311--312",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226942",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational complexity; Consumer electronics;
                 Customer relationship management; Digital computers;
                 Iterative methods; Simulation",
}

@InProceedings{Fang:2023:CAD,
  author =       "Chongzheng Fang and Chenhui Zhou and Fan Ye",
  booktitle =    "{2023 IEEE 15th International Conference on ASIC
                 (ASICON)}",
  title =        "A Common Architecture for Digital Process of
                 Ultrasonic Imaging System after {AFE}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ASICON58565.2023.10395979",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Acoustics; CORDIC; digital demodulator; digital down
                 conversion; Information filters; offset elimination;
                 Solids; Standards; Testing; Ultrasonic imaging;
                 ultrasonic imaging; Visualization",
}

@InProceedings{Hingu:2023:AFI,
  author =       "Chanakya Hingu and Xingang Fu and Rajab Challoo and
                 Jiang Lu and Xiaokun Yang and Letu Qingge",
  booktitle =    "{2023 IEEE 14th Annual Ubiquitous Computing,
                 Electronics \& Mobile Communication Conference
                 (UEMCON)}",
  title =        "Accelerating {FPGA} Implementation of Neural Network
                 Controllers via 32-bit Fixed-Point Design for Real-Time
                 Control",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "0445--0450",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/UEMCON59035.2023.10316098",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "32-bit fixed-point number; CORDIC; Cyclones; FPGA;
                 Hardware; Logic gates; LUT; Memory management; Mobile
                 communication; neural network controller; real-time
                 controller; Real-time systems; Table lookup",
}

@InProceedings{Hong:2023:LCH,
  author =       "Wanyuan Hong and Hui Chen and Lianghua Quan and
                 Yuxiang Fu and Li Li",
  booktitle =    "{2023 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Low-Cost High-Precision Architecture for Arbitrary
                 Floating-Point Nth Root Computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ISCAS46773.2023.10181944",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS technology; Computer architecture; CORDIC;
                 Digital signal processing; floating-point; Frequency
                 synthesizers; high precision; low cost; Navigation; Nth
                 root; Power demand; Simulation; SRT",
}

@Misc{Kim:2023:EAC,
  author =       "Young-Man Kim",
  title =        "Error Analysis of {CORDIC} Processor with {FPGA}
                 Implementation",
  year =         "2023",
  DOI =          "https://doi.org/10.48550/arXiv.2308.01025",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2308.01025",
  abstract =     "The coordinate rotation digital computer (CORDIC) is a
                 shift-add based fast computing algorithm which has been
                 found in many digital signal processing (DSP)
                 applications. In this paper, a detailed error analysis
                 based on mean square error criteria and its
                 implementation on FPGA is presented. Two considered
                 error sources are an angle approximation error and a
                 quantization error due to finite word length in
                 fixed-point number system. The error bound and variance
                 are discussed in theory. The CORDIC algorithm is
                 implemented on FPGA using the Xilinx Zynq-7000
                 development board called ZedBoard. Those results of
                 theoretical error analysis are practically investigated
                 by implementing it on actual FPGA board. In addition,
                 Matlab is used to provide theoretical value as a
                 baseline model by being set up in double-precision
                 floating-point to compare it with the practical value
                 of errors on FPGA implementation.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "2308.01025",
  primaryclass = "eess.SY",
}

@Article{Leigh:2023:REH,
  author =       "Alexander J. Leigh and Moslem Heidarpur and Mitra
                 Mirhassani",
  title =        "A Resource-Efficient and High-Accuracy {CORDIC}-based
                 Digital Implementation of the {Hodgkin Huxley} Neuron",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "31",
  number =       "9",
  pages =        "1377--1388",
  year =         "2023",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2023.3296057",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Behavioral sciences; Biological system modeling;
                 Biology; Computational modeling; Hardware;
                 Hodgkin-Huxley (HH) neuron; Mathematical models;
                 neuromorphic hardware; Neurons; spiking neuron",
}

@InProceedings{Liu:2023:DMR,
  author =       "Youyao Liu and Shihao Gai and Ping Liu and Haimei
                 Huang",
  booktitle =    "{2023 19th International Conference on Natural
                 Computation, Fuzzy Systems and Knowledge Discovery
                 (ICNC-FSKD)}",
  title =        "Design of Mixed-radix {FFT} Processor Based on The
                 Modified {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICNC-FSKD59587.2023.10280973",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; Digital computers; Fast Fourier
                 transforms; FFT; Hardware; Knowledge discovery;
                 Mixed-Radix; modified CORDIC algorithm; Pipelines;
                 Program processors",
}

@InProceedings{Mao:2023:CBM,
  author =       "Tongbao Mao and Boyu Hua and Xin Tai and Maozhong Song
                 and Qiangjun Zhou and Qiuming Zhu",
  booktitle =    "{2023 IEEE MTT-S International Wireless Symposium
                 (IWS)}",
  title =        "A {CORDIC}-based Multi-Scene {MIMO} Channel Emulator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/IWS58240.2023.10222728",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "channel emulator; Computer architecture; CORDIC;
                 Delays; Fading channels; FPGA; Hardware; MIMO;
                 Probability density function; Resource management;
                 SoFM; Wireless communication",
}

@Article{Mehra:2023:EEE,
  author =       "Sumiran Mehra and Gopal Raut and Ribhu Das Purkayastha
                 and Santosh Kumar Vishvakarma and Anton Biasizzo",
  title =        "An Empirical Evaluation of Enhanced Performance
                 Softmax Function in Deep Learning",
  journal =      j-IEEE-ACCESS,
  volume =       "11",
  pages =        "34912--34924",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ACCESS.2023.3265327",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Computational modeling; Computer architecture; CORDIC
                 algorithm; deep learning; Hardware; hardware
                 optimization; Iterative algorithms; performance
                 enhancement; Pipeline processing; pipeline stages;
                 Propagation delay; Softmax function (SF); Throughput",
}

@Article{Mohamed:2023:UPC,
  author =       "Nadya A. Mohamed and Joseph R. Cavallaro",
  title =        "A Unified Parallel {CORDIC}-based Hardware
                 Architecture for {LSTM} Network Acceleration",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "72",
  number =       "10",
  pages =        "2752--2766",
  month =        oct,
  year =         "2023",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2023.3268400",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Accelerator; Computer architecture; CORDIC; Field
                 programmable gate arrays; fixed-point arithmetic; FPGA;
                 Hardware; Logic gates; long short-term memory;
                 Microprocessors; Recurrent neural networks; recurrent
                 neural networks; serial-parallel computation; Sparse
                 matrices; systolic",
}

@Article{Paz:2023:CBC,
  author =       "Pedro Paz and Mario Garrido",
  title =        "{CORDIC}-based Computation of Arcsine and Arccosine
                 Functions on {FPGA}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "70",
  number =       "9",
  pages =        "3684--3688",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/TCSII.2023.3262353",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "Approximation algorithms; arccosine; arcsine;
                 Complexity theory; CORDIC; cosine; Europe; Field
                 programmable gate arrays; FPGA; Hardware; Mathematical
                 models; Registers; sine; trigonometric",
}

@Article{Pujari:2023:DFI,
  author =       "Shubhanjay S. Pujari and M. Bhaskar",
  title =        "Design and {FPGA} Implementation of Pre-computation
                 Based Radix-4 Hyperbolic {CORDIC} for Direct Digital
                 Synthesis",
  journal =      "Journal of Signal Processing Systems",
  volume =       "95",
  number =       "6",
  pages =        "765--775",
  month =        jun,
  year =         "2023",
  DOI =          "https://doi.org/10.1007/s11265-023-01879-3",
  ISSN =         "1939-8018 (print), 1939-8115 (electronic)",
  ISSN-L =       "1939-8115",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  journal-URL =  "https://link.springer.com/journal/11265/volumes-and-issues",
}

@Article{Rachel:2023:RLP,
  author =       "N. Agnes Shiny Rachel and G. Rajakumar",
  title =        "A robust low-power fsm {CORDIC} lms filter design for
                 exponential noise removal in pacemaker",
  journal =      j-INT-J-ELECTRON,
  volume =       "111",
  number =       "12",
  pages =        "2303--2323",
  month =        oct,
  year =         "2023",
  CODEN =        "IJELA2",
  DOI =          "https://doi.org/10.1080/00207217.2023.2267216",
  ISSN =         "1362-3060",
  ISSN-L =       "0020-7217",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "International Journal of Electronics Theoretical \&
                 Experimental",
}

@Article{Raut:2023:EAE,
  author =       "Gopal Raut and Saurabh Karkun and Santosh Kumar
                 Vishvakarma",
  title =        "An Empirical Approach to Enhance Performance for
                 Scalable {CORDIC}-Based Deep Neural Networks",
  journal =      j-TRETS,
  volume =       "16",
  number =       "3",
  pages =        "39:1--39:??",
  month =        sep,
  year =         "2023",
  CODEN =        "????",
  DOI =          "https://doi.org/10.1145/3596220",
  ISSN =         "1936-7406 (print), 1936-7414 (electronic)",
  ISSN-L =       "1936-7406",
  bibdate =      "Sat Aug 19 07:37:30 MDT 2023",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/trets.bib",
  URL =          "https://dl.acm.org/doi/10.1145/3596220",
  abstract =     "Practical implementation of deep neural networks
                 (DNNs) demands significant hardware resources,
                 necessitating high computational power and memory
                 bandwidth. While existing field-programmable gate array
                 (FPGA)-based DNN accelerators are primarily optimized
                 \ldots{}",
  acknowledgement = ack-nhfb,
  ajournal =     "ACM Trans. Reconfigurable Technol. Syst.",
  articleno =    "39",
  fjournal =     "ACM Transactions on Reconfigurable Technology and
                 Systems (TRETS)",
  journal-URL =  "https://dl.acm.org/loi/trets",
}

@InBook{Roy:2023:CA,
  author =       "Shirshendu Roy",
  booktitle =    "Advanced Digital System Design",
  title =        "{CORDIC} Algorithm",
  publisher =    "Springer International Publishing",
  pages =        "207--225",
  month =        sep,
  year =         "2023",
  DOI =          "https://doi.org/10.1007/978-3-031-41085-7_11",
  ISBN =         "3-031-41085-8",
  ISBN-13 =      "978-3-031-41085-7",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Sathe:2023:ECA,
  author =       "Pushkar Sathe and Ajay Verma and Laxmeesha Somappa",
  booktitle =    "{2023 IEEE Asia Pacific Conference on Circuits and
                 Systems (APCCAS)}",
  title =        "Efficient {CORDIC} Architectures for {FFT} Based All
                 Digital Resonator Frequency Estimation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "178--182",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/APCCAS60141.2023.00049",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Angle Recoding; CORDIC; FFT; Frequency estimation;
                 Frequency to digital converter; MEMS readouts;
                 Micromechanical devices; Pipelined architecture;
                 Pipelines; Power demand; Resonant frequency; Sensors;
                 Throughput",
}

@InProceedings{Stasytis:2023:OTH,
  author =       "Lukas Stasytis and Zsolt Istv{\'a}n",
  booktitle =    "{2023 33rd International Conference on
                 Field-Programmable Logic and Applications (FPL)}",
  title =        "Optimization Techniques for {Hestenes--Jacobi} {SVD}
                 on {FPGAs}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "144--150",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/FPL60245.2023.00028",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Costs; Field programmable gate arrays; FPGA;
                 Hardware; Hestenes-Jacobi; Optimization; SVD; Task
                 analysis; Throughput; Tuning",
}

@InBook{Sun:2023:MAO,
  author =       "Sixian Sun and Qijia Zheng and Liping Ren and Linxue
                 An and Yufeng He and Shuyue Han",
  booktitle =    "Proceedings of 2023 Chinese Intelligent Systems
                 Conference",
  title =        "Method and Testing of Shaft Angle Digital Conversion
                 Based on Improved {CORDIC} Algorithm",
  publisher =    "Springer Nature Singapore",
  pages =        "595--608",
  year =         "2023",
  DOI =          "https://doi.org/10.1007/978-981-99-6882-4_48",
  ISBN =         "981-9968-82-8",
  ISBN-13 =      "978-981-9968-82-4",
  ISSN =         "1876-1119",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Urs:2023:DIC,
  author =       "H. D. Nataraj Urs and B. N. Aravind and K. {Krishna
                 Veni} and N Yashwanth",
  booktitle =    "{2023 IEEE 3rd International Conference on Technology,
                 Engineering, Management for Societal impact using
                 Marketing, Entrepreneurship and Talent (TEMSMET)}",
  title =        "Design and Implementation of {CORDIC} based Digital
                 Modulation Techniques using {DPLL}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/TEMSMET56707.2023.10150192",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Digital communication; Digital modulation; DPLL;
                 Engineering management; Entrepreneurship; Frequency
                 modulation; Hardware; Modulation; QPSK; Quantization
                 (signal)",
}

@InProceedings{V:2023:IFP,
  author =       "Velmurugan V M and Ayub Khan A and Bharanidharan S",
  booktitle =    "{2023 International Conference on Next Generation
                 Electronics (NEleX)}",
  title =        "Implementation of Floating Point {CORDIC} Algorithm
                 Using 45 nm Technology For {COS} {SINE} Generation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/NEleX59773.2023.10420961",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Arithmetic; Arrays; atan; Codes; Coordinate Rotation
                 Digital Computer; Field Programmable Gate Arrays; FPUs;
                 Graphic Data System; Hardware design languages; Logic
                 gates; Next generation networking; Standards",
}

@Article{Verma:2023:ESF,
  author =       "Anu Verma and Khyati Kiyawat and Bishnu Prasad Das and
                 Pramod Kumar Meher",
  title =        "An Efficient Scaling-Free Folded Hyperbolic {CORDIC}
                 Design Using a Novel Low-Complexity Power-of-2 {Taylor}
                 Series Approximation",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "31",
  number =       "8",
  pages =        "1167--1177",
  year =         "2023",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2023.3281078",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Approximation algorithms; Computer architecture;
                 Convergence; Coordinate rotation digital computer
                 (CORDIC); Field programmable gate arrays; hyperbolic
                 CORDIC; hyperbolic trigonometric functions; Pipelines;
                 Taylor series; Taylor series approximation;
                 Trajectory",
}

@InProceedings{Verma:2023:PCA,
  author =       "Sandeep Kumar Verma and Muralidhar Pullakandam and
                 Rama Muni Reddy Yanamala",
  booktitle =    "{2023 IEEE 20th India Council International Conference
                 (INDICON)}",
  title =        "Pipelined {CORDIC} Architecture Based {DDFS} Design
                 and Implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1440--1445",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/INDICON59947.2023.10440811",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; DDFS; FPGA; Hardware
                 implementation; ILA; Pipeline; Pipelines; Power demand;
                 Resource management; Signal processing algorithms;
                 Simulation; Synthetic aperture radar; Table lookup;
                 Verilog HDL; VIO",
}

@InProceedings{Wang:2023:RHP,
  author =       "Bochang Wang and Ziang Duan and Zixuan Shen and
                 Yuansheng Zhao and Lu Gao and Chao Wang",
  booktitle =    "{2023 IEEE International Conference on Integrated
                 Circuits, Technologies and Applications (ICTA)}",
  title =        "A Reconfigurable High-Precision and Energy-Efficient
                 Circuit Design of Sigmoid, Tanh and Softmax Activation
                 Functions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "118--119",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICTA60488.2023.10364285",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Activation Function; Approximation algorithms; Circuit
                 synthesis; CORDIC; DNN Accelerator; Energy efficiency;
                 Integrated circuit technology; LSTM; Power demand;
                 Sigmoid; Softmax; Speech recognition; Table lookup;
                 Tanh",
}

@Article{Wang:2023:RIE,
  author =       "Yanlin Wang",
  title =        "Research on Image Edge Detection Algorithm Based on
                 {CORDIC}",
  journal =      j-J-PHYS-CONF-SER,
  volume =       "2440",
  number =       "1",
  pages =        "012001",
  month =        jan,
  year =         "2023",
  CODEN =        "JPCSDZ",
  DOI =          "https://doi.org/10.1088/1742-6596/2440/1/012001",
  ISSN =         "1742-6588 (print), 1742-6596 (electronic)",
  ISSN-L =       "1742-6588",
  bibdate =      "Tue Nov 11 13:44:01 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Physics: Conference Series",
  journal-URL =  "http://www.iop.org/EJ/journal/conf",
}

@InProceedings{Wu:2023:DBC,
  author =       "Haoyu Wu and Liyu Lin and Haodong Sun and Xiaoyang
                 Zeng and Yun Chen",
  booktitle =    "{2023 IEEE 15th International Conference on ASIC
                 (ASICON)}",
  title =        "A Decision-Based {CORDIC} Hardware for Arc Tangent
                 Calculation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ASICON58565.2023.10396585",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "arc tangent; Convergence; CORDIC; Decision-Based;
                 Digital computers; Hardware",
}

@InProceedings{Xiang:2023:DIA,
  author =       "Fuxin Xiang and Xiaojiang Yao and Zilong Zheng and
                 Shenghui Fan and Tubin Zhou",
  booktitle =    "{2023 8th International Conference on Integrated
                 Circuits and Microsystems (ICICM)}",
  title =        "Design and Implementation of Active Phased Array Beam
                 Steering System Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "612--616",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICICM59499.2023.10365889",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Beam steering; Beam Steering; Control systems; Cordic
                 Algorithm; FPGA; Hardware; Phased Array Radar; Phased
                 arrays; Real-time systems; Software; Software
                 algorithms",
}

@Article{Yen:2023:AVA,
  author =       "Mao-Hsu Yen and Hoang-Yang Lu and Ken-Hua Lu and
                 Shao-Yueh Lin and Chia-Chen Chan",
  title =        "Algorithm and {VLSI} Architecture of a Near-Optimum
                 Symbol Detector for {QSM} {MIMO} Systems",
  journal =      j-IEEE-ACCESS,
  volume =       "11",
  pages =        "144113--144125",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ACCESS.2023.3343838",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Computer architecture; coordinate rotation digital
                 computer (CORDIC); Detectors; Digital computers; givens
                 rotation; Hardware; MIMO communication; Quadrature
                 spatial modulation; Receiving antennas; Symbols;
                 Transmitting antennas",
}

@Article{Yen:2023:PGR,
  author =       "Mao-Hsu Yen and Hoang-Yang Lu and Shao-Yueh Lin and
                 Ken-Hua Lu and Chia-Chen Chan",
  title =        "A Partial-{Givens}-Rotation-Based Symbol Detector for
                 {GSM} {MIMO} Systems: Algorithm and {VLSI}
                 Implementation",
  journal =      "IEEE Systems Journal",
  volume =       "17",
  number =       "4",
  pages =        "6322--6333",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/JSYST.2023.3293717",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Coordinate rotation digital computer (CORDIC);
                 Detectors; generalized spatial modulation (GSM); givens
                 rotation (GR); GSM; Hardware; Matrix decomposition;
                 MIMO communication; multiple-input multiple-output
                 (MIMO); Symbols; Transmitting antennas",
}

@Article{Zhang:2023:HPM,
  author =       "Yuan Zhang and Lele Peng and Lianghua Quan and
                 Yonggang Zhang and Shubin Zheng and Hui Chen",
  title =        "High-Precision Method and Architecture for Base-2
                 Softmax Function in {DNN} Training",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "70",
  number =       "8",
  pages =        "3268--3279",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/TCSI.2023.3277247",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computational modeling; Computer architecture; DNN;
                 Hardware; high precision; hyperbolic CORDIC; Load
                 modeling; Neural networks; Softmax; Table lookup;
                 Training; training",
}

@InProceedings{Zhi:2023:SHC,
  author =       "Hao Zhi and Jiye Jiao",
  booktitle =    "{2023 19th International Conference on Natural
                 Computation, Fuzzy Systems and Knowledge Discovery
                 (ICNC-FSKD)}",
  title =        "Software and Hardware Collaborative Design of {PMSM}
                 {FOC} System Based on {CORDIC} Algorithm Improvement",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2023",
  DOI =          "https://doi.org/10.1109/ICNC-FSKD59587.2023.10281059",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ARM; CORDIC algorithm; FOC; FPGA; Hardware; Parallel
                 processing; Permanent magnet motors; PMSM; Process
                 control; Program processors; Software algorithms; Space
                 vector pulse width modulation",
}

@InProceedings{A:2024:DIF,
  author =       "Karthik A and Ramana R and Ramkumar K and Santhosh R
                 and Robin K",
  booktitle =    "{2024 International Conference on Data Science and
                 Network Security (ICDSNS)}",
  title =        "Design and Implementation of Fundamental Calculation
                 Method by using the {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICDSNS62112.2024.10691244",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Complexity theory; CORDIC algorithm; Delays; Fast
                 Fourier transforms; Logic gates; Multiplexing;
                 multiplier; Network security; Power demand; Program
                 processors; Radix-4 modified booth (16 bit) multiplier;
                 Simulation; Very large scale integration",
}

@InProceedings{Al-Safi:2024:CBI,
  author =       "Amean Al-Safi",
  booktitle =    "{2024 15th International Conference on Computing
                 Communication and Networking Technologies (ICCCNT)}",
  title =        "{CORDIC} Based Implementation of {QPSK} Modulator
                 Using {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICCCNT61001.2024.10724933",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Costs; DDS; Digital Modulators; Field
                 programmable gate arrays; FPGA; Generators; Hardware;
                 Industries; QPSK Modulator; Quadrature phase shift
                 keying; Radio frequency; System-on-chip; Very high
                 speed integrated circuits; VHDL",
}

@Article{Ashar:2024:QEH,
  author =       "Neha Ashar and Gopal Raut and Vasundhara Trivedi and
                 Santosh Kumar Vishvakarma and Akash Kumar",
  title =        "{QuantMAC}: Enhancing Hardware Performance in {DNNs}
                 With Quantize Enabled Multiply-Accumulate Unit",
  journal =      j-IEEE-ACCESS,
  volume =       "12",
  pages =        "43600--43614",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ACCESS.2024.3379906",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Approximate compute; Arithmetic; Artificial
                 intelligence; Artificial neural networks;
                 bit-truncation; Computer architecture; Convolution;
                 CORDIC; deep neural network; Hardware; hardware
                 accelerator; quantize processing element; Throughput",
}

@Article{Bahrami:2024:DDS,
  author =       "Mohammad Kazem Bahrami and Soheila Nazari",
  title =        "Digital design of a spatial-pow-{STDP} learning block
                 with high accuracy utilizing pow {CORDIC} for
                 large-scale image classifier spatiotemporal {SNN}",
  journal =      j-SCI-REP,
  volume =       "14",
  number =       "1",
  month =        feb,
  year =         "2024",
  CODEN =        "SRCEC3",
  DOI =          "https://doi.org/10.1038/s41598-024-54043-7",
  ISSN =         "2045-2322",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "Scientific Reports",
  journal-URL =  "http://www.nature.com/srep/",
}

@InProceedings{Basavaraju:2024:EHA,
  author =       "Mahati Basavaraju and Vinay Rayapati and Madhav Rao",
  booktitle =    "{2024 25th International Symposium on Quality
                 Electronic Design (ISQED)}",
  title =        "Exploring Hardware Activation Function Design:
                 {CORDIC} Architecture in Diverse Floating Formats",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISQED60706.2024.10528686",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Activation Function; Artificial neural networks;
                 BFloat; Computer architecture; CORDIC; Costs; Data
                 Representation; Floating Point; Focusing; Hardware;
                 Libraries; Measurement; Neural networks; POSIT;
                 Sigmoid; Softmax; Tanh; TensorFloat",
}

@InProceedings{Basavaraju:2024:PHC,
  author =       "Mahati Basavaraju and Vinay Rayapati and Madhav Rao",
  booktitle =    "{2024 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "{POCO}: Hardware Characterization of Activation
                 Functions using {POSIT-CORDIC} Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISCAS58744.2024.10558487",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Activation Functions; Artificial neural networks;
                 Benchmark testing; Circuits and systems; Computer
                 architecture; CORDIC; Design methodology; Digital
                 computers; Dynamic range; Neural Networks; POSIT;
                 Sigmoid; Softmax; Tanh",
}

@Article{Bhattacharjya:2024:MLE,
  author =       "Rajat Bhattacharjya and Arnab Sarkar and Biswadip
                 Maity and Nikil Dutt",
  title =        "{MUSIC-Lite}: Efficient {MUSIC} Using Approximate
                 Computing: an {OFDM} Radar Case Study",
  journal =      "IEEE Embedded Systems Letters",
  volume =       "16",
  number =       "4",
  pages =        "329--332",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/LES.2024.3440208",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Approximate computing; Approximation algorithms;
                 CORDIC; Frequency division multiplexing; Hardware;
                 Multiple signal classification; multiple signal
                 classification (MUSIC); OFDM; orthogonal
                 frequency-division multiplexing (OFDM) radar; Pattern
                 classification; Radar; singular value decomposition
                 (SVD); Space exploration; System-on-chip",
}

@Misc{Burge:2024:QCA,
  author =       "Iain Burge and Michel Barbeau and Joaquin
                 Garcia-Alfaro",
  title =        "Quantum {CORDIC} --- Arcsin on a Budget",
  year =         "2024",
  DOI =          "https://doi.org/10.48550/arXiv.2411.14434",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2411.14434",
  abstract =     "This work introduces a quantum algorithm for computing
                 the arcsine function to an arbitrary accuracy. We
                 leverage a technique from embedded computing and
                 field-programmable gate array (FPGA), called COordinate
                 Rotation DIgital Computer (CORDIC). CORDIC is a family
                 of iterative algorithms that, in a classical context,
                 can approximate various trigonometric, hyperbolic, and
                 elementary functions using only bit shifts and
                 additions. Adapting CORDIC to the quantum context is
                 non-trivial, as the algorithm traditionally uses
                 several non-reversible operations. We detail a method
                 for CORDIC which avoids such non-reversible operations.
                 We propose multiple approaches to calculate the arcsine
                 function reversibly with CORDIC. For n bits of
                 precision, our method has space complexity of order n
                 qubits, a layer count in the order of n times log n,
                 and a CNOT count in the order of n squared. This
                 primitive function is a required step for the
                 Harrow-Hassidim-Lloyd (HHL) algorithm, is necessary for
                 quantum digital-to-analog conversion, can simplify a
                 quantum speed-up for Monte-Carlo methods, and has
                 direct applications in the quantum estimation of
                 Shapley values.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "2411.14434",
  primaryclass = "quant-ph",
}

@Article{Cao:2024:MPS,
  author =       "Shengli Cao and Yutao Jiao and Gang Xiao and Wenbin Wu
                 and Ziyu Xie and Jiawei Li and Xiewen Liu and Ergang
                 Zhao and Zhao Yue",
  title =        "Miniaturized photoelectrochemical sensing system for
                 reusable detection of macromolecules and its
                 applications for unattended environmental monitoring",
  journal =      "Sensors and Actuators B: Chemical",
  volume =       "421",
  pages =        "136515",
  year =         "2024",
  DOI =          "https://doi.org/10.1016/j.snb.2024.136515",
  ISSN =         "0925-4005",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0925400524012450",
  acknowledgement = ack-nhfb,
  keywords =     "DFT demodulation; Field monitoring; High accuracy;
                 Miniaturization; Modulated photocurrent;
                 Photoelectrochemical measurement system",
}

@Article{Celikovic:2024:HED,
  author =       "Janko {\v{C}}elikovi{\'c} and Wisam Al-Hoor and John
                 Kesterson and Angel Maria Gomez Arguello and Siamak
                 Abedinpour and Luca Corradini and Dragan
                 Maksimovi{\'c}",
  title =        "Hardware-Efficient Digital Autotuning for Integrated
                 Switched-Mode Battery Chargers",
  journal =      "IEEE Transactions on Power Electronics",
  volume =       "39",
  number =       "5",
  pages =        "5041--5057",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/TPEL.2023.3342152",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Autotuning; battery chargers; Control systems;
                 coordinate rotation digital computer (CORDIC);
                 current-mode (CM) control; digital pulsewidth
                 modulation control; field-programmable gate array
                 (FPGA); Frequency modulation; Perturbation methods;
                 phase margin (PM); Process control; quadrature
                 projections; single-tone injection; switched-mode
                 converters; System identification; system
                 identification; Tuning; Voltage control",
}

@InProceedings{Chen:2024:HCH,
  author =       "Hui Chen and Lianghua Quan and Weiqiang Liu",
  title =        "{HGH-CORDIC}: a {High-Radix Generalized Hyperbolic
                 COordinate Rotation Digital Computer}",
  crossref =     "IEEE:2024:PIS",
  pages =        "88--95",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/arith61463.2024.00024",
  bibdate =      "Thu Nov 13 11:37:34 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; ARITH 2024; ARITH-31; Convergence; Digital
                 arithmetic; Digital computers; exponential;
                 generalized; Hardware; hardware implementation;
                 High-radix; hyperbolic CORDIC; logarithmic; Software",
}

@InProceedings{Chong:2024:QRP,
  author =       "Yi Sheng Chong and Hongyu Cao and Wang Ling Goh and
                 Patrick Bore and Yuanzheng Paul Tan and Yung Szen Yap
                 and Rainer Dumke and Vishnu P. Nambiar and Anh Tuan
                 Do",
  booktitle =    "{2024 IEEE International Symposium on Circuits and
                 Systems (ISCAS)}",
  title =        "Quantum Readout Processing Accelerator with a {CORDIC}
                 Core at Cryogenic Temperature",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISCAS58744.2024.10558257",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Costs; Digital computers; Energy consumption;
                 Frequency synthesizers; Power measurement; Qubit;
                 Temperature distribution",
}

@Article{Dalloo:2024:LPL,
  author =       "Ayad M. Dalloo and Amjad Jaleel Humaidi and Ammar K.
                 {Al Mhdawi} and Hamed Al-Raweshidy",
  title =        "Low-Power and Low-Latency Hardware Implementation of
                 Approximate Hyperbolic and Exponential Functions for
                 Embedded System Applications",
  journal =      j-IEEE-ACCESS,
  volume =       "12",
  pages =        "24151--24163",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ACCESS.2024.3364361",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "approximate computing; Approximation algorithms;
                 Approximation methods; Computer architecture; CORDIC;
                 elementary functions; Exponential distribution;
                 exponential function; Field programmable gate arrays;
                 Hyperbolic functions; machine learning; Machine
                 learning; Signal processing algorithms; Source coding;
                 Table lookup; table-driven algorithm; Taylor series",
}

@Book{deDinechin:2024:ASA,
  author =       "Florent de Dinechin and Martin Kumm",
  title =        "Application-specific Arithmetic: Computing Just Right
                 for the Reconfigurable Computer and the Dark Silicon
                 Era",
  publisher =    pub-SV-CHAM,
  address =      pub-SV-CHAM:adr,
  pages =        "xxiii + 804",
  year =         "2024",
  DOI =          "https://doi.org/10.1007/978-3-031-42808-1",
  ISBN =         "3-031-42807-2, 3-031-42808-0 (e-book), 3-031-42809-9,
                 3-031-42810-2",
  ISBN-13 =      "978-3-031-42807-4, 978-3-031-42808-1 (e-book),
                 978-3-031-42809-8, 978-3-031-42810-4",
  LCCN =         "QA76.9.C62 D56 2024",
  bibdate =      "Fri Dec 8 13:09:29 MST 2023",
  bibsource =    "fsz3950.oclc.org:210/WorldCat;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://perso.citi-lab.fr/fdedinec/ASA-book/errata.pdf;
                 https://link.springer.com/book/10.1007/978-3-031-42808-1",
  abstract =     "Written by two experts of the domain, this book
                 presents the most recent advances in computer
                 arithmetic hardware, with a focus on
                 application-specific arithmetic beyond the classic
                 operators and the standard precisions. It targets
                 silicon designers who have to do better with less in
                 the post-Moore era, and FPGA developers who want to
                 exploit the full possibilities of reconfigurable
                 computing platforms. Presents a unique focus on
                 application-specific computer arithmetic; Helps
                 developers gain a deep understanding of the arithmetic
                 in their projects, and tailor it to their application;
                 Illustrates concepts and architectures by actual
                 implementations, using the FloPoCo open-source hardware
                 generator.",
  acknowledgement = ack-nhfb,
  tableofcontents = "1: Introduction \\
                 2: Number Formats \\
                 3: Computing Just Right: Accuracy Specification and
                 Error Analysis \\
                 4: Field Programmable Gate Arrays \\
                 \\
                 Part 1 Revisiting Classic Arithmetic \\
                 5: Fixed-Point Addition \\
                 6: Fixed-Point Comparison \\
                 7: Sums of Weighted Bits \\
                 8: Fixed-Point Multiplication \\
                 9: Fixed-Point Division \\
                 10: Shifters and Leading Bit Counters \\
                 11: Basic Floating-Point Operators \\
                 \\
                 Part 2 Operator Specialization \\
                 12: Multiplication by Constants \\
                 13: Division by Constants \\
                 14: Fixed-Point Squares, Cubes, and Other Integer
                 Powers \\
                 15: Specialization and Fusion of Floating-Point
                 Operators \\
                 \\
                 Part 3 Generic Methods for Fixed-Point Function
                 Approximation \\
                 16: Generalities on Fixed-Point Function Approximation
                 \\
                 17: Function Evaluation Using Tables and Additions \\
                 18: Polynomial-Based Architectures for Function
                 Evaluation \\
                 19: Digit Recurrence for Algebraic Functions \\
                 \\
                 Part 4 Example Composite Operators \\
                 20: Fixed-Point Sine and Cosine \\
                 21: Floating-Point Accumulation and Sum-of-Products \\
                 22: Floating-Point Exponential \\
                 \\
                 Part 5 Application Domains \\
                 23: Arithmetic in The Design of Linear Time-Invariant
                 Filters \\
                 24: Arithmetic for Deep Learning \\
                 \\
                 Part 6 Appendix \\
                 25: Appendix A: Custom Arithmetic Datapath Design with
                 FloPoCo \\
                 26: Appendix B: Optimization Using Integer Linear
                 Programming",
}

@InProceedings{Deng:2024:EFB,
  author =       "Jiawen Deng and Jie Yang and Xin'an Wang",
  booktitle =    "{2024 IEEE 7th Information Technology, Networking,
                 Electronic and Automation Control Conference (ITNEC)}",
  title =        "An Efficient {FP16}-based Hardware Architecture with
                 Enhanced {CORDIC} to Implement Sigmoid and Tanh
                 Functions",
  volume =       "7",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1633--1636",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ITNEC60942.2024.10733095",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CMOS technology; Computational modeling; Computer
                 architecture; Convolutional neural networks; Efficient
                 Hardware; FP16; Hardware; hyperbolic and linear CORDIC;
                 Linear accelerators; Neural networks; Power demand;
                 Semiconductor device modeling; Sigmoid and Tanh;
                 System-on-chip",
}

@InProceedings{Dora:2024:HIO,
  author =       "Sai Kumar Dora and Himanshu B. Mishra and Manodipan
                 Sahoo and Kapil Yadav",
  booktitle =    "{2024 International Conference on Signal Processing
                 and Communications (SPCOM)}",
  title =        "Hardware Implementation of {OTFS} Modulation Using
                 {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/SPCOM60851.2024.10631593",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Hardware; Signal processing algorithms; Timing;
                 Transceivers; Transforms; Transmitters; Wireless
                 communication",
}

@InCollection{Elrefai:2024:CML,
  author =       "Hisham M. Elrefai and Sara S. Abou Zeid and Wafaa S.
                 Sayed and Lobna A. Said and Ahmed G. Radwan",
  booktitle =    "Advances in Nonlinear Dynamics, Volume {III}",
  title =        "Compact Multiplier-less {CORDIC}-Based {FPGA}
                 Implementation of Sine and Modified Sine Chaotic Maps",
  publisher =    pub-SV-CHAM,
  address =      pub-SV-CHAM:adr,
  pages =        "153--161",
  year =         "2024",
  DOI =          "https://doi.org/10.1007/978-3-031-50635-2_15",
  ISBN =         "3-031-50634-0; 3-031-50635-9",
  ISBN-13 =      "978-3-031-50634-5; 978-3-031-50635-2",
  ISSN =         "2730-7697",
  MRnumber =     "4769607",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  series =       "NODYCON Conference Proceedings Series",
  acknowledgement = ack-nhfb,
}

@InProceedings{Feng:2024:DIH,
  author =       "Zhenfu Feng and Hao Chang and Huan Zhang and Ang Li
                 and Lidong Xing",
  booktitle =    "{2024 6th International Conference on Frontier
                 Technologies of Information and Computer (ICFTIC)}",
  title =        "Design and Implementation of High-speed and
                 High-precision {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1050--1056",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICFTIC64248.2024.10912937",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Arithmetic; coordinate rotation digital
                 computer algorithms; Delays; Digital computers; Field
                 programmable gate arrays; field-programmable gate
                 arrays; greedy algorithms; Greedy algorithms; MATLAB;
                 Real-time systems; Registers; Signal processing
                 algorithms; trigonometric functions",
}

@Article{Ghanbarpour:2024:CAM,
  author =       "Gilda Ghanbarpour and Milad Ghanbarpour and Pourya
                 Spari",
  title =        "Creative and accurate method for optimal hardware
                 implementation of neurons and biological cells:
                 Application in {FPGA}-based implementation of cardiac
                 pacemaker cell",
  journal =      "AEU - International Journal of Electronics and
                 Communications",
  volume =       "187",
  pages =        "155561",
  year =         "2024",
  DOI =          "https://doi.org/10.1016/j.aeue.2024.155561",
  ISSN =         "1434-8411",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1434841124004473",
  acknowledgement = ack-nhfb,
  keywords =     "Digital implementation; FPGA; Heart pace maker;
                 Sinoatrial node; Yanagihara--Noma--Irisawa (YNI)
                 model",
}

@InProceedings{Ghosh:2024:DDL,
  author =       "Diptasri Ghosh and Debasish Chatterjee and Debeshi
                 Dutta and Somsukla Maiti and Amit Acharyya and Soumen
                 Sen",
  booktitle =    "{2024 31st IEEE International Conference on
                 Electronics, Circuits and Systems (ICECS)}",
  title =        "Design and Development of Low-Complex Methodology for
                 {sEMG}-based Hand Activity Identification and Actuation
                 of Soft Robots for Rehabilitating and Amputated
                 Patients",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICECS61496.2024.10848986",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Activity classification; CORDIC;
                 Electromyography; Energy efficiency; Hands; Low-complex
                 classification; Motion control; Pneumatic systems;
                 Prosthetics; Real-time systems; Rehabilitation; Robot
                 sensing systems; sEMG; Soft actuators; Soft robotics;
                 System-on-chip; System-on-Chip implementation",
}

@InProceedings{Ghosh:2024:SCB,
  author =       "Amitava Ghosh and Anindya.S. Dhar and Indrajit
                 Chakrabarti",
  booktitle =    "{2024 IEEE Calcutta Conference (CALCON)}",
  title =        "A Single {CORDIC} Based Architecture for Real-time
                 Tomographic Image Reconstruction for Industrial
                 Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/CALCON63337.2024.10914154",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Architecture; Computed tomography; Computer
                 architecture; CORDIC block; Degradation; Delays; Fast
                 Fourier transform; Fast Fourier transforms; Hankel
                 transform; Image reconstruction; Real-time signal
                 processing; Real-time systems; Signal processing
                 algorithms; Signal to noise ratio; Transforms",
}

@InProceedings{Guo:2024:LLH,
  author =       "Jiatong Guo and Jinxiang Gao and Zixuan Shen and
                 Jipeng Wang and Zhuo Cheng and Jingru Jiang and Wenjue
                 Chen and Chao Wang",
  booktitle =    "{TENCON 2024 --- 2024 IEEE Region 10 Conference
                 (TENCON)}",
  title =        "A Low-Latency and High-Accuracy Dual-Mode Neuron
                 Design for Accelerating Neurological Diseases
                 Simulation and Analysis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "374--377",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/TENCON61640.2024.10902968",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adaptive Exponential Neuron; Computational
                 efficiency; Computational modeling; Dynamic scheduling;
                 Fast-Convergence CORDIC; Hardware; Hodgkin-Huxley
                 Neuron; Low latency communication; Neurological
                 diseases; Neuromorphic Hardware; Neurons; Pipelines;
                 Reconfigurable Spiking Neuron; Resource management",
}

@Article{Haghiri:2024:MIF,
  author =       "Saeed Haghiri and Salah I. Yahya and Abbas Rezaei and
                 Arash Ahmadi",
  title =        "Multiplierless Implementation of {Fitz-Hugh Nagumo
                 (FHN)} Modeling Using {CORDIC} Approach",
  journal =      "IEEE Transactions on Emerging Topics in Computational
                 Intelligence",
  volume =       "8",
  number =       "1",
  pages =        "279--287",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/TETCI.2023.3300176",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Behavioral sciences; Brain modeling; CNS;
                 Computational modeling; FHN; Field programmable gate
                 arrays; FPGA; Hardware; Mathematical models; Neuron;
                 Neurons",
}

@Article{Han:2024:HAD,
  author =       "Dongjun Han and Ming Xu and Yuhang Wu and Fuhui Zhou",
  title =        "A Hardware Architecture Design for High-Speed Vessel
                 Orientation Recognition",
  journal =      j-IEEE-ACCESS,
  volume =       "12",
  pages =        "155287--155294",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ACCESS.2024.3476482",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Accuracy; Approximation algorithms; Biomedical
                 monitoring; Circulatory system; Computer architecture;
                 Feature extraction; Field programmable gate arrays;
                 Hardware; Image analysis; Image edge detection;
                 improved CORDIC algorithm; Medical image processing;
                 Optimization; Real-time systems; vascular orientation
                 recognition",
}

@Article{Hingu:2024:BFF,
  author =       "Chanakya Hingu and Xingang Fu and Praneeth Vangala and
                 Ramkrishna Mishan and Poria Fajri",
  title =        "32-Bit Fixed and Floating-Point Hardware
                 Implementation for Enhanced Inverter Control:
                 Leveraging {FPGA} in Recurrent Neural Network
                 Applications",
  journal =      j-IEEE-ACCESS,
  volume =       "12",
  pages =        "111097--111110",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ACCESS.2024.3441512",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Tue Sep 30 17:30:28 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "32-bit fix and floating point implementation;
                 Artificial neural networks; CORDIC; Field programmable
                 gate arrays; FPGA; Hardware; hardware implementation;
                 Inverters; LUT; Matlab; Neural network controller;
                 Neural networks; Recurrent neural networks; Tanh
                 function",
}

@InProceedings{K:2024:FPC,
  author =       "Padmakumar K and Lalu V and Shiny G",
  booktitle =    "{2024 International Conference on Advancements in
                 Power, Communication and Intelligent Systems (APCI)}",
  title =        "Floating-point {CORDIC} Coprocessor with Dynamic
                 Iterations",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/APCI61480.2024.10616948",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Dynamic iteration; Ecosystems; floating-point;
                 Hardware; Heuristic algorithms; Process control;
                 Software algorithms; Taylor series; VHDL",
}

@InProceedings{Karrenbauer:2024:EHA,
  author =       "Jens Karrenbauer and Sven Sch{\"o}newald and Simon
                 Klein and Holger Blume",
  booktitle =    "{2024 IEEE 35th International Conference on
                 Application-specific Systems, Architectures and
                 Processors (ASAP)}",
  title =        "Enhancing a Hearing Aid Processor with {ISA}
                 Extensions Supporting Flexible Fixed-Point Formats",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "176--183",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ASAP61560.2024.00044",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Auditory system; CMAC; CORDIC; fixed-point arithmetic;
                 Hardware; Hearing aids; ISA extension; MATLAB; Program
                 processors; Silicon-on-insulator; SmartHeaP; Systems
                 architecture; Tensilica; Time-frequency analysis",
}

@InProceedings{Luo:2024:OLE,
  author =       "Zhibin Luo and Junyi Mai and Enyi Yao",
  booktitle =    "{2024 IEEE 6th International Conference on AI Circuits
                 and Systems (AICAS)}",
  title =        "{OTFC-LSTM}: an Efficient Design of {LSTM} Accelerator
                 based on On-The-Fly {CORDIC}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "372--376",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/AICAS59952.2024.10595924",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Computer architecture; CORDIC; Hardware;
                 hardware accelerator; LSTM; Natural language
                 processing; nonlinear activation function; Resource
                 management; Throughput; Time series analysis",
}

@InProceedings{McGuinness-Rodriguez:2024:REF,
  author =       "Cristopher McGuinness-Rodriguez and Johan Arbustini
                 and Pablo Mendoza-Ponce and Eric Elzenheimer and Andrey
                 Morales-Zamora and Michael H{\"o}ft and Robert Rieger
                 and Andreas Bahr",
  booktitle =    "{2024 IEEE 42nd Central America and Panama Convention
                 (CONCAPAN XLII)}",
  title =        "Resource-Efficient {FPGA}-based {I/Q}-Demodulator
                 Detects 0.0005 Modulation Index with {9.5 dB} {SNR} for
                 Converse {ME} Sensors",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/CONCAPAN63470.2024.10933859",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Amplitude Modulation (AM); CIC
                 (Cascaded-Integrator-Comb-Filter) Filter; CORDIC;
                 Demodulation; Finite impulse response filters; FIR
                 Filter; FPGA; In-Phase and Quadrature (I/Q); Low-pass
                 filters; Magnetic resonance; Magnetic sensors; Magnetic
                 separation; Magnetostriction; Medical Real-Time Signal
                 Processing; Numerically Controlled Oscillator (NCO);
                 Oscillators; Real-time systems; Signal processing
                 algorithms; Signal to noise ratio",
}

@InProceedings{Moses:2024:FPP,
  author =       "Yashwant Moses and Madhav Rao",
  booktitle =    "{2024 29th Asia and South Pacific Design Automation
                 Conference (ASP-DAC)}",
  title =        "A Fixed-Point Pre-Processing Hardware Architecture
                 Design for Complex Independent Component Analysis",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "244--249",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ASP-DAC58780.2024.10473838",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Blind Source Separation; Complex Independent Component
                 Analysis (c-ICA); Computer architecture; CORDIC;
                 Independent component analysis; Magnetic resonance
                 imaging; Pipelined Preprocessing Accelerator; Radar
                 signal processing; Real-time systems; Signal processing
                 algorithms; Throughput",
}

@InProceedings{Mule:2024:SFI,
  author =       "Priya Mule and Sudhakar Mande",
  booktitle =    "{2024 15th International Conference on Computing
                 Communication and Networking Technologies (ICCCNT)}",
  title =        "12-stage {FFT} Implementation using {CORDIC}-based
                 pipelined {SDF} Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICCCNT61001.2024.10726055",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; Cordic; Data transfer; Field
                 programmable gate arrays; FPGA; Hardware; Iterative
                 methods; latency; Logic; Low latency communication;
                 operating frequency; Pipeline processing; Read only
                 memory; SDF; Throughput; throughput",
}

@InProceedings{Na:2024:DSC,
  author =       "Jiesi Na",
  booktitle =    "{2024 5th International Conference on Artificial
                 Intelligence and Computer Engineering (ICAICE)}",
  title =        "Design of {SPWM} Controller Based on {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1029--1032",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICAICE63571.2024.10863964",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial intelligence; CORDIC; Design methodology;
                 Field programmable gate arrays; FPGA; Frequency
                 control; Intellectual property; Pulse width modulation;
                 Quantization (signal); Sampling methods; SPWM",
}

@Article{Ortega:2024:EES,
  author =       "Eduardo Ortega and Alejandro Vicente and Agust{\'\i}n
                 Mart{\'\i}nez and {\'O}scar Rodr{\'\i}guez and Manuel
                 Prieto and Pablo Parra and Antonio {Da Silva} and
                 Sebasti{\'a}n S{\'a}nchez",
  title =        "Enhancing efficiency in spaceborne phased array
                 systems: {MVDR} algorithm and {FPGA} integration",
  journal =      j-DIGIT-SIGNAL-PROCESS,
  volume =       "155",
  pages =        "104732",
  year =         "2024",
  CODEN =        "DSPREJ",
  DOI =          "https://doi.org/10.1016/j.dsp.2024.104732",
  ISSN =         "1051-2004 (print), 1095-4333 (electronic)",
  ISSN-L =       "1051-2004",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1051200424003579",
  acknowledgement = ack-nhfb,
  fjournal =     "Digital Signal Processing",
  journal-URL =  "https://www.sciencedirect.com/journal/digital-signal-processing",
  keywords =     "Digital beamforming; FPGA; MVDR",
}

@InProceedings{P:2024:ADN,
  author =       "Arjun P and Shanmugha Sundaram GA",
  booktitle =    "{2024 IEEE International Conference on Intelligent
                 Signal Processing and Effective Communication
                 Technologies (INSPECT)}",
  title =        "Advanced Design of Numerical Control Oscillators with
                 Enhanced {CORDIC} Pipelining",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--7",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/INSPECT63485.2024.10896247",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Array signal processing; Beam formation; CORDIC;
                 Digital communication; NCO; Oscillators; Phase locked
                 loops; Pipeline processing; Power; Reliability;
                 Resource management; Resource utilization; Signal
                 processing algorithms; Stability analysis; System
                 performance",
}

@InProceedings{Palchaudhuri:2024:FSS,
  author =       "Ayan Palchaudhuri and Anindya Sundar Dhar",
  booktitle =    "{2024 37th International Conference on VLSI Design and
                 2024 23rd International Conference on Embedded Systems
                 (VLSID)}",
  title =        "{FPGA} Specific Speed-Area Optimized Architectures of
                 Arithmetic Cores with Scan Insertion for Carry Chain
                 Based Multi-level Logic Implementation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "617--622",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/VLSID60093.2024.00109",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "absolute difference; carry chain; CORDIC; Finite
                 element analysis; FPGA; Look-Up Table; Minimization;
                 Philosophical considerations; Registers; Scalability;
                 scan register; Table lookup; ternary accumulator; Very
                 large scale integration",
}

@InProceedings{Park:2024:IAF,
  author =       "Jiho Park and Geon Shin and Hoyoung Yoo",
  booktitle =    "{2024 21st International SoC Design Conference
                 (ISOCC)}",
  title =        "Implementation of Activation Functions using various
                 approximation methods",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "175--176",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISOCC62682.2024.10762658",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Activation function; Artificial neural
                 networks; CORDIC; Deep neural network; Function
                 approximation; Hardware; Look-UpTable; Taylor series",
}

@InProceedings{Parmar:2024:SCR,
  author =       "Yashrajsinh Parmar and Florian Caullery and Sonali
                 Kale",
  booktitle =    "{2024 1st International Conference On Cryptography And
                 Information Security (VCRIS)}",
  title =        "Secure Coordinate Rotation in Embedded Systems Using
                 Homomorphic Encryption: Implementation and Evaluation
                 on the {AI-Saqr} Platform",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/VCRIS63677.2024.10813447",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/risc-v.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computational efficiency; CORDIC algorithm;
                 Cryptography; Digital computers; Embedded systems;
                 embedded systems; Homomorphic encryption; Information
                 security; Real-time systems; RISC-V; secure
                 computation; System-on-chip; unmanned aerial systems;
                 Vectors",
}

@Article{Poczekajlo:2024:ICA,
  author =       "Pawe{\l} Poczekaj{\l}o",
  title =        "Iterative computing algorithms implemented in {FPGA}
                 using {ALTERA\_CORDIC} library as an example",
  journal =      "Procedia Computer Science",
  volume =       "246",
  pages =        "2380--2389",
  year =         "2024",
  DOI =          "https://doi.org/10.1016/j.procs.2024.09.533",
  ISSN =         "1877-0509",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  note =         "28th International Conference on Knowledge Based and
                 Intelligent information and Engineering Systems (KES
                 2024)",
  URL =          "https://www.sciencedirect.com/science/article/pii/S187705092402578X",
  acknowledgement = ack-nhfb,
  keywords =     "Altera; computing algorithms; CORDIC; engineering
                 computing; finite precision; FPGA; frequency;
                 implementation; iterative algorithms; library;
                 occupation; pipelined algorithms",
}

@InProceedings{Poczekajlo:2024:MCA,
  author =       "Pawel Poczekajlo and Leonid Moroz and Ewa Deelman and
                 Pawel Gepner",
  booktitle =    "Computational science---{ICCS} 2024. {Part} {VI}",
  title =        "Modified {CORDIC} Algorithm for {Givens} Rotator",
  volume =       "14837",
  publisher =    pub-SV-CHAM,
  address =      pub-SV-CHAM:adr,
  pages =        "101--114",
  year =         "2024",
  DOI =          "https://doi.org/10.1007/978-3-031-63778-0_8",
  ISBN =         "3-031-63777-1; 3-031-63778-X",
  ISBN-13 =      "978-3-031-63777-3; 978-3-031-63778-0",
  ISSN =         "1611-3349",
  MRclass =      "99-06",
  MRnumber =     "4789808",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  series =       ser-LNCS,
  acknowledgement = ack-nhfb,
}

@InProceedings{Raut:2024:SDF,
  author =       "Gopal Raut and Pranose J. Edavoor and David Selvakumar
                 and Ritambhara Thakur",
  booktitle =    "{2024 25th International Symposium on Quality
                 Electronic Design (ISQED)}",
  title =        "A {SIMD} Dynamic Fixed Point Processing Engine for
                 {DNN} Accelerators",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--8",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISQED60706.2024.10528758",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "AF; Artificial neural networks; Convolution; Cordic;
                 DNN; dynamic fraction fixed-point; Dynamic scheduling;
                 Electron accelerators; Hardware; MAC; multi-precision;
                 SIMD; Switches; Throughput",
}

@InProceedings{Rayapati:2024:EEB,
  author =       "Vinay Rayapati and Sanampudi GopalaKrishna Reddy and
                 Gandi Ajay Kumar and Gogireddy Ravikiran Reddy and
                 Madhav Rao",
  booktitle =    "{2024 37th International Conference on VLSI Design and
                 2024 23rd International Conference on Embedded Systems
                 (VLSID)}",
  title =        "{EBACA}: Efficient {Bfloat16}-based Activation
                 Function Implementation Using Enhanced {CORDIC}
                 Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "605--610",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/VLSID60093.2024.00107",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "BFloat16; CNN; CORDIC; Embedded systems; Hardware;
                 Inference algorithms; Libraries; Low Power; Neural
                 networks; Performance evaluation; Sigmoid; Softmax;
                 Tanh; Very large scale integration",
}

@InProceedings{Sheng:2024:EEH,
  author =       "Shirui Sheng and Kwen-Siong Chong and Jun-Sheng Ng and
                 Zhiping Lin and Joseph S. Chang and Bah-Hwee Gwee",
  booktitle =    "{2024 IEEE Asia Pacific Conference on Circuits and
                 Systems (APCCAS)}",
  title =        "An Energy-Efficient and High-Accuracy Spiking Neural
                 Network Utilizing Asynchronous {CORDIC} for {On-FPGA}
                 {STDP} Learning",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "571--575",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/APCCAS62602.2024.10808853",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Asynchronous; CORDIC; dual-rail; Energy
                 efficiency; FPGA; Hardware; Iterative methods; Logic;
                 neuromorphic hardware; Neurons; online learning;
                 Pipelines; Power dissipation; spiking neural network;
                 Spiking neural networks; Switches",
}

@InProceedings{Singh:2024:EEL,
  author =       "Gian Singh and Ayushi Dube and Sarma Vrudhula",
  booktitle =    "{2024 IEEE Computer Society Annual Symposium on VLSI
                 (ISVLSI)}",
  title =        "Energy-Efficient and Low-Latency Computation of
                 Transcendental Functions in a Precision-Tunable {PIM}
                 Architecture",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "186--191",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ISVLSI61997.2024.00043",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Computer architecture; CORDIC; DFT; Discrete Fourier
                 transforms; DRAM; Energy Efficiency; Energy efficiency;
                 Memory Wall; Processing-in-Memory; Random access
                 memory; Simulation; Throughput; Transcendental
                 Functions; Very large scale integration",
}

@InProceedings{Singh:2024:FBS,
  author =       "Suryadev Singh and Babita Jajodia",
  booktitle =    "{2024 International Conference on IoT, Communication
                 and Automation Technology (ICICAT)}",
  title =        "{FPGA}-based {SoC} Design with {CORDIC-POSIT}
                 Arithmetic for Efficient {IoT} Data Processing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1534--1539",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICICAT62666.2024.10923249",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Arithmetic; Coordinate Rotation Digital
                 Computer (CORDIC); Data processing; Field programmable
                 gate arrays; Field Programmable Gate-Array (FPGA);
                 Internet of Things; Internet of Things (IoT); Positive
                 Integer and Signed Integer Ternary (POSIT); Real-time
                 systems; sensor data management; Soil measurements;
                 Soil moisture; System on Chip (SoC); System-on-chip;
                 Table lookup; XADC",
}

@InProceedings{Wong:2024:HGT,
  author =       "Paul Wong and Dania Susanne Mosuli and Xuechen Zhang
                 and Xiaokun Yang",
  booktitle =    "{2024 IEEE International Conference on Big Data
                 (BigData)}",
  title =        "Hardware Generation on Trigonometric Functions",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "7571--7576",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/BigData62323.2024.10825243",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Chisel; Codes; Computer architecture; CORDIC;
                 floating-point; FPGA; Generators; Hardware; Hardware
                 acceleration; Hardware design languages; Pipelines;
                 Registers; Resource management; Scientific computing;
                 trigonometry",
}

@Article{Wu:2024:GMR,
  author =       "Ruiqi Wu and Xinyu Wang and Jie Li and Lili Cao and
                 Guoqiang He and Yuxiang Fu and Li Li",
  title =        "A General Methodology and Reconfigurable Architecture
                 for Calculating {AB}-Like Functions in the Complex
                 Field",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-II-EXPRESS-BRIEFS,
  volume =       "71",
  number =       "11",
  pages =        "4758--4762",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/TCSII.2023.3342814",
  ISSN =         "1549-7747 (print), 1558-3791 (electronic)",
  ISSN-L =       "1549-7747",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems II: Express
                 Briefs",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=8920",
  keywords =     "AB-like functions; CMOS technology; complex field;
                 Complexity theory; Computational modeling; Computer
                 architecture; CORDIC; Floating point; Hardware; Matlab;
                 parabolic synthesis; reconfigurable; Three-dimensional
                 displays",
}

@InProceedings{Xie:2024:CCP,
  author =       "Minghui Xie and Ningmei Yu and Dian Tian and Jing
                 Zhang and {\'A}lvaro Hern{\'a}ndez and Jes{\'u}s
                 Ure{\~n}a",
  booktitle =    "{2024 9th International Conference on Integrated
                 Circuits and Microsystems (ICICM)}",
  title =        "A Configurable {CORDIC} and {PSADIC} Fusion
                 Architecture for Nonlinear Edge-Computing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "665--670",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ICICM63644.2024.10814567",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Clocks; Computational modeling; Computer architecture;
                 Config-urable computation; CORDIC; Digital computers;
                 Edge computing; Hardware; Integrated circuits;
                 Micromechanical devices; PSADIC; Software",
}

@Article{Zhang:2024:APV,
  author =       "Haoran Zhang and Minqi Chen and Shuqin Wan and Zihao
                 Jiao and Shenglin Hu and Peng Song",
  title =        "An Arbitrary-Phase-Varying Digital Chirp Signal
                 Generator Based on Improved {CORDIC} Algorithm and
                 Trajectory Approximator",
  journal =      j-IEEE-ACCESS,
  volume =       "12",
  pages =        "135362--135371",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ACCESS.2024.3456554",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Approximation algorithms; Chirp; discrete-time PID
                 controller; Field programmable gate arrays; finite
                 state machine (FSM); FPGA; Logic; neural network; Radar
                 antennas; Scaling-free coordinate rotation digital
                 computer (CORDIC) algorithm; Table lookup; Trajectory",
}

@Article{A:2025:DAR,
  author =       "Anjana A and Nakkeeran R and Ramya Jothikumar R",
  title =        "Design and Analysis of {RMFAS CORDIC II} Rotator for
                 {FPGA}",
  journal =      "IETE Journal of Research",
  pages =        "1--11",
  month =        apr,
  year =         "2025",
  DOI =          "https://doi.org/10.1080/03772063.2025.2486582",
  ISSN =         "0974-780X",
  bibdate =      "Tue Nov 11 14:27:07 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Abdkrimi:2025:OFI,
  author =       "Mounir Abdkrimi and Olivier Rossetto and Olivier
                 Bourrion and Christophe Vescovi and Christophe Hoarau",
  booktitle =    "{2025 14th Mediterranean Conference on Embedded
                 Computing (MECO)}",
  title =        "Optimized {FPGA} Implementation of the {CORDIC}
                 Algorithm for a Frequency Multiplexed Readout",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/MECO66322.2025.11049302",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CORDIC; Field programmable gate arrays; FPGA;
                 Frequency division multiplexing; Frequency
                 Multiplexing; Instruments; Microprogramming; MKIDs;
                 Next generation networking; Optimization; Readout
                 Electronics; Readout electronics; Resource management;
                 Scalability; Table lookup",
}

@InProceedings{Arul:2025:MBA,
  author =       "Sanjai Arul and Tharani Kumar and Yu Ting Tung and
                 Juinn-Horng Deng",
  booktitle =    "{2025 1st International Conference on Radio Frequency
                 Communication and Networks (RFCoN)}",
  title =        "Model-based Adjustable Carrier Frequency Offset
                 Generator Design for {mmWave} Array Communication
                 System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/RFCoN62306.2025.11085193",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Antenna arrays; CORDIC Algorithm; FPGA Implementation;
                 Generators; Hardware; Horn antennas; Millimeter wave
                 communication; mmWave Communication; Radio frequency;
                 Read only memory; RF Loopback Testing; Testing;
                 Transmitting antennas; Tuning",
}

@Article{Bai:2025:LIH,
  author =       "Na Bai and Ruizheng Qu and Yaohua Xu and Yi Wang and
                 Xiaojie Chen and Li Li",
  title =        "Low-iteration hybrid computing {CORDIC} architecture",
  journal =      j-MICROELECT-J,
  volume =       "156",
  pages =        "106481",
  year =         "2025",
  CODEN =        "MICEB9",
  DOI =          "https://doi.org/10.1016/j.mejo.2024.106481",
  ISSN =         "1879-2391",
  ISSN-L =       "0026-2692",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1879239124001851",
  acknowledgement = ack-nhfb,
  fjournal =     "Microelectronics Journal",
  journal-URL =  "http://www.sciencedirect.com/science/journal/00262692",
  keywords =     "Faster computation; Hybrid {CORDIC} algorithm; Low
                 hardware complexity; Low iteration; Rotation mode",
}

@InProceedings{Blanchard:2025:FII,
  author =       "Philippe Blanchard and Manouane Caza-Szoka and Roger
                 Bergeron and Daniel Massicotte",
  booktitle =    "{2025 IEEE International Instrumentation and
                 Measurement Technology Conference (I2MTC)}",
  title =        "{FPGA} Implementation of {IEC 61000-4-30} Quasi-Peak
                 meter with {CORDIC} Algorithm",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/I2MTC62753.2025.11079186",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "CISPR; Computational efficiency; CORDIC; EMC; Field
                 programmable gate arrays; FPGA; IEC; IEC Standards;
                 Pipelines; Power quality; Quasi-peak; Real-time
                 systems; Scalability; Standards; Throughput; Vectors",
}

@InProceedings{Bouarah:2025:HFP,
  author =       "Romain Bouarah and Florent {De Dinechin}",
  booktitle =    "{2025 IEEE 32nd Symposium on Computer Arithmetic
                 (ARITH)}",
  title =        "Hardware Fixed-Point {2D} and {3D} norms",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "29--36",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ARITH64983.2025.00015",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adders; Computer architecture; Computer
                 arithmetic; CORDIC; Delays; Digital arithmetic;
                 Euclidean norm; Field programmable gate arrays; fixed
                 point; Hardware; hardware operator; Three-dimensional
                 displays",
}

@Article{Chen:2025:HPL,
  author =       "Hui Chen and Lianghua Quan and Weiqiang Liu and
                 Zhonghai Lu",
  title =        "High-Precision Low-Latency Method and Architecture for
                 Computing Binary and Decimal Logarithms",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  pages =        "1--5",
  year =         "2025",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2025.3590597",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Accuracy; Binary logarithm; Computer architecture;
                 Convergence; decimal logarithm; Digital computers;
                 generalized hyperbolic coordinate rotation digital
                 computer (GH-CORDIC); Hardware; high precision; low
                 latency; Low latency communication; Mathematical
                 models; radix-4; Table lookup; Vectors; Very large
                 scale integration",
}

@Article{Chen:2025:HRG,
  author =       "Hui Chen and Lianghua Quan and Ke Chen and Weiqiang
                 Liu",
  title =        "High-Radix Generalized Hyperbolic {CORDIC} and Its
                 Hardware Implementation",
  journal =      j-IEEE-TRANS-COMPUT,
  volume =       "74",
  number =       "3",
  pages =        "983--995",
  month =        mar,
  year =         "2025",
  CODEN =        "ITCOB4",
  DOI =          "https://doi.org/10.1109/TC.2024.3512183",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/ieeetranscomput2020.bib",
  acknowledgement = ack-nhfb,
  ajournal =     "IEEE Trans. Comput.",
  fjournal =     "IEEE Transactions on Computers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=12",
  keywords =     "Accuracy; Computer architecture; Computers;
                 Convergence; exponential; generalized; Hardware;
                 hardware implementation; High-radix; hyperbolic CORDIC;
                 logarithmic; Mathematical models; Real-time systems;
                 Software; Software algorithms; Vectors",
}

@Article{Chen:2025:IIE,
  author =       "Ru Chen and Songjie Xiang and Die Yu and Meixuan Li
                 and Mohammad Sh. Daoud and Minmin Shao and Abdulilah
                 Mohammad Mayet and Yisu Ge and Guodao Zhang and Yanling
                 Chu and Yideng Huang",
  title =        "An investigation on inner ear operation in sense of
                 hearing: High-speed {FPGA}-based circuits for digital
                 realization of {2D} cochlea modeling",
  journal =      "Alexandria Engineering Journal",
  volume =       "118",
  pages =        "371--383",
  year =         "2025",
  DOI =          "https://doi.org/10.1016/j.aej.2025.01.054",
  ISSN =         "1110-0168",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1110016825000821",
  acknowledgement = ack-nhfb,
  keywords =     "Artificial cochlea; Auditory system; Bio-inspired AI;
                 FPGA; Inner ear; Optimized approach",
}

@InProceedings{D:2025:FIC,
  author =       "Girijamba D L and Amrutha Mahendrakar and Anagha and
                 Annapurna and Eunice Paulina",
  booktitle =    "{2025 3rd International Conference on Inventive
                 Computing and Informatics (ICICI)}",
  title =        "{FPGA} Implementation of the {CORDIC} Algorithm for
                 Sinusoidal Waveform Generation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1402--1407",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ICICI65870.2025.11069963",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; CORDIC Algorithm; Digital signal processing;
                 digital signal processing; Direct Digital Synthesis;
                 Field programmable gate arrays; FPGA; Memory
                 management; parallel processing; phase-to-amplitude
                 conversion; Real-time systems; realtime systems;
                 Scalability; Signal processing algorithms; Signal
                 resolution; Synthesizers; Telecommunications; waveform
                 generation",
}

@InProceedings{Elrefai:2025:IRC,
  author =       "Hisham M. Elrefai and Wafaa S. Sayed and Ahmed G.
                 Radwan and Lobna A. Said",
  booktitle =    "{2025 21st International Conference on Synthesis,
                 Modeling, Analysis and Simulation Methods, and
                 Applications to Circuits Design (SMACD)}",
  title =        "Investigation of Reconfigurable {CORDIC} Modes and
                 Efficiency",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--4",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/SMACD65553.2025.11092140",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/matlab.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Approximation algorithms; CORDIC; Field
                 programmable gate arrays; FPGA; Hardware; Integrated
                 circuit modeling; Interpolation; MATLAB; Performance
                 metrics; Polynomials; Reconfigurable; Resource
                 management; Transcendental",
}

@Article{Garrido:2025:UDC,
  author =       "Mario Garrido and Daniel Medina and Pedro Paz and
                 Marisa L{\'o}pez-Vallejo",
  title =        "Uniformly Distributed {CORDIC}",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "72",
  number =       "11",
  pages =        "6948--6961",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3560802",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Adders; canonical signed digit (CSD); Computer
                 architecture; Coordinate rotation digital computer
                 (CORDIC); Costs; digital circuit; Digital computers;
                 Digital systems; Field programmable gate arrays;
                 Hardware; Indexes; micro-rotation; pipelined; Power
                 demand; Silicon; uniformly distributed (UD) angles",
}

@Article{GarzonGonzalez:2025:FIM,
  author =       "Jeferson Andres {Garzon Gonzalez} and Jose {de Jesus
                 Rangel-Magdaleno} and Jesus Manuel Munoz-Pacheco",
  title =        "{FPGA} Implementation of a Multi-{PRNG} Based on a
                 Multiscroll Chaotic {Hopfield} Neural Network",
  journal =      "IEEE Transactions on Industrial Informatics",
  volume =       "21",
  number =       "4",
  pages =        "3097--3106",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TII.2024.3523548",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/prng.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Chaotic communication; chaotic systems; Coordinate
                 rotation digital computer (CORDIC); Field programmable
                 gate arrays; field-programmable gate array (FPGA);
                 Generators; Hardware; Heuristic algorithms; Hopfield;
                 Informatics; memristive; Memristors;
                 multi-pseudo-random number generator (PRNG);
                 multiscroll; neural networks; NIST; Throughput;
                 Trajectory",
}

@InProceedings{Joseph:2025:FPC,
  author =       "Nimmy Joseph and Joji Daniel and Padmakumar K and
                 Jayalekshmi L",
  booktitle =    "{2025 6th International Conference on Control,
                 Communication and Computing (ICCC)}",
  title =        "Floating-Point {CORDIC} Processor in Hyperbolic
                 Coordinate System",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ICCC64910.2025.11077237",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Cordic; FPU; Hardware; ISA; Process control;
                 Processor; Vectors",
}

@InProceedings{Khan:2025:DIF,
  author =       "Gani Nawaz Khan and Mujeev Khan and Mohammed Usman and
                 Abhishek Srivastava and Mohd Wajid",
  booktitle =    "{2025 7th International Conference on Signal
                 Processing, Computing and Control (ISPCC)}",
  title =        "Design and Implementation of {FPGA}-based System for
                 Angle Estimation Using {FMCW} Radar",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "824--829",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISPCC66872.2025.11039600",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; AoA; CORDIC; Estimation; Fast Fourier
                 transforms; FFT; Field programmable gate arrays; FMCW
                 Radar; FPGA; Hardware; MIMO; Object detection; Radar
                 antennas; Radar signal processing; Radar tracking;
                 Real-time systems",
}

@Article{Khurshid:2025:HPC,
  author =       "Burhan Khurshid",
  title =        "High-performance {CORDIC}-based approximate {MAC}
                 architectures for {FPGA} platforms",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "101",
  pages =        "102338",
  year =         "2025",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/j.vlsi.2024.102338",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0167926024002025",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Approximate computing; CORDIC; DSP; Fixed-point
                 arithmetic; FPGA; MAC",
}

@Misc{Kokane:2025:CAY,
  author =       "Omkar Kokane and Adam Teman and Anushka Jha and Guru
                 Prasath SL and Gopal Raut and Mukul Lokhande and S. V.
                 Jaya Chand and Tanushree Dewangan and Santosh Kumar
                 Vishvakarma",
  title =        "{CORDIC} Is All You Need",
  year =         "2025",
  DOI =          "https://doi.org/10.48550/arXiv.2503.11685",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2503.11685",
  abstract =     "Artificial intelligence necessitates adaptable
                 hardware accelerators for efficient high-throughput
                 million operations. We present pipelined architecture
                 with CORDIC block for linear MAC computations and
                 nonlinear iterative Activation Functions (AF) such as
                 tanh, sigmoid, and softmax. This approach focuses on a
                 Reconfigurable Processing Engine (RPE) based systolic
                 array, with 40\% pruning rate, enhanced throughput up
                 to 4.64 $ \times $, and reduction in power and area by
                 5.02 $ \times $ and 4.06 $ \times $ at CMOS 28 nm, with
                 minor accuracy loss. FPGA implementation achieves a
                 reduction of up to 2.5 $ \times $ resource savings and
                 3 $ \times $ power compared to prior works. The
                 Systolic CORDIC engine for Reconfigurability and
                 Enhanced throughput (SYCore) deploys an output
                 stationary dataflow with the CAESAR control engine for
                 diverse AI workloads such as Transformers, RNNs/LSTMs,
                 and DNNs for applications like image detection, LLMs,
                 and speech recognition. The energy-efficient and
                 flexible approach extends the enhanced approach for
                 edge AI accelerators supporting emerging workloads.",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "2503.11685",
  primaryclass = "cs.AR",
}

@Misc{Kokane:2025:RCBa,
  author =       "Omkar Kokane and Gopal Raut and Salim Ullah and Mukul
                 Lokhande and Adam Teman and Akash Kumar and Santosh
                 Kumar Vishvakarma",
  title =        "Retrospective: A {CORDIC} Based Configurable
                 Activation Function for {NN} Applications",
  year =         "2025",
  DOI =          "https://doi.org/10.48550/arXiv.2503.14354",
  bibdate =      "Wed Nov 12 13:02:33 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://arxiv.org/abs/2503.14354",
  abstract =     "A CORDIC-based configuration for the design of
                 Activation Functions (AF) was previously suggested to
                 accelerate ASIC hardware design for
                 resource-constrained systems by providing functional
                 reconfigurability. Since its introduction, this new
                 approach for neural network acceleration has gained
                 widespread popularity, influencing numerous designs for
                 activation functions in both academic and commercial AI
                 processors. In this retrospective analysis, we explore
                 the foundational aspects of this initiative, summarize
                 key developments over recent years, and introduce the
                 DA-VINCI AF tailored for the evolving needs of AI
                 applications. This new generation of dynamically
                 configurable and precision-adjustable activation
                 function cores promise greater adaptability for a range
                 of activation functions in AI workloads, including
                 Swish, SoftMax, SeLU, and GeLU, utilizing the
                 Shift-and-Add CORDIC technique. The previously
                 presented design has been optimized for MAC, Sigmoid,
                 and Tanh functionalities and incorporated into ReLU
                 AFs, culminating in an accumulative NEURIC compute
                 unit. These enhancements position NEURIC as a
                 fundamental component in the resource-efficient vector
                 engine for the realization of AI accelerators that
                 focus on DNNs, RNNs/LSTMs, and Transformers, achieving
                 a quality of results (QoR) of 98.5\%",
  acknowledgement = ack-nhfb,
  archiveprefix = "arXiv",
  eprint =       "2503.14354",
  primaryclass = "cs.AR",
}

@InProceedings{Kokane:2025:RCBb,
  author =       "Omkar Kokane and Gopal Raut and Salim Ullah and Mukul
                 Lokhande and Adam Teman and Akash Kumar and Santosh
                 Kumar Vishvakarma",
  booktitle =    "{2025 IEEE Computer Society Annual Symposium on VLSI
                 (ISVLSI)}",
  title =        "Retrospective: a {CORDIC} Based Configurable
                 Activation Function for {NN} Applications",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISVLSI65124.2025.11130218",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Activation Function; AI accelerators; Artificial
                 neural networks; CORDIC; Engines; Hardware; Program
                 processors; Reconfigurable Computing; Transformers;
                 Vectors; Very large scale integration",
}

@InBook{Kulkarni:2025:CAI,
  author =       "Kaushal Kulkarni and Neha Joshi and Isha Lale and N.
                 G. Nirmal",
  booktitle =    "{ICT}: Applications and Social Interfaces",
  title =        "{CORDIC} Algorithm Implementation Using {FPGA}",
  publisher =    "Springer Nature Singapore",
  pages =        "167--182",
  year =         "2025",
  DOI =          "https://doi.org/10.1007/978-981-96-5751-3_15",
  ISBN =         "981-9657-51-2",
  ISBN-13 =      "978-981-9657-51-3",
  ISSN =         "2367-3389",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@Article{Li:2025:EHA,
  author =       "Wenjie Li and Gang Wang and Dongxu Lyu and Ningyi Xu
                 and Guanghui He",
  title =        "Efficient Hardware Architecture Design for Rotary
                 Position Embedding of Large Language Models",
  journal =      j-IEEE-J-EMERG-SEL-TOP-CIRCUITS-SYST,
  volume =       "15",
  number =       "2",
  pages =        "244--257",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/JETCAS.2025.3556443",
  ISSN =         "2156-3357 (print), 2156-3365 (electronic)",
  ISSN-L =       "2156-3357",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal on Emerging and Selected Topics in
                 Circuits and Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=5503868",
  keywords =     "Approximation algorithms; Computer architecture;
                 CORDIC; Costs; Hardware; hardware architecture;
                 Indexes; Large language models; Power demand;
                 quantization; Quantization (signal); rotary position
                 embedding; Training; Vectors",
}

@InProceedings{Liu:2025:DHP,
  author =       "Yu Liu and Xiaojie Zhang and Zhenfu Feng",
  booktitle =    "{2025 4th International Symposium on Semiconductor and
                 Electronic Technology (ISSET)}",
  title =        "Design Of High Precision Rotary Transformer Decoding
                 System Based On {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "191--194",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISSET66828.2025.11184958",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Carrier synchronization; CORDIC; DDS;
                 Decoding; Field programmable gate arrays; Phase
                 measurement; Real-time systems; Rotary transformer;
                 Rotors; Semiconductor device measurement; Signal
                 resolution; Synchronization; Transformers",
}

@InProceedings{Liu:2025:RDI,
  author =       "Yu Liu and Jiaxing He and Zhenfu Feng",
  booktitle =    "{2025 4th International Symposium on Semiconductor and
                 Electronic Technology (ISSET)}",
  title =        "Realization of Digital Image Rotation Based on
                 {FPGA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "183--186",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISSET66828.2025.11184991",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Biomedical imaging; CORDIC; Digital images; Display
                 systems; Field programmable gate arrays; Image
                 Interpolation FPGA; Image processing; Image quality;
                 Image Rotation; Interpolation; Liquid crystal displays;
                 Pipelines; TV",
}

@Article{Lokhande:2025:FPF,
  author =       "Mukul Lokhande and Gopal Raut and Santosh Kumar
                 Vishvakarma",
  title =        "{Flex-PE}: Flexible and {SIMD} Multiprecision
                 Processing Element for {AI} Workloads",
  journal =      j-IEEE-TRANS-VLSI-SYST,
  volume =       "33",
  number =       "6",
  pages =        "1610--1623",
  year =         "2025",
  CODEN =        "IEVSE9",
  DOI =          "https://doi.org/10.1109/TVLSI.2025.3553069",
  ISSN =         "1063-8210 (print), 1557-9999 (electronic)",
  ISSN-L =       "1063-8210",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Very Large Scale Integration
                 (VLSI) Systems",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/issues?punumber=92",
  keywords =     "Accuracy; Activation functions (AFs); Artificial
                 intelligence; Computational modeling; CORDIC; deep
                 learning accelerators; Hardware; multiprecision
                 systolic arrays; Runtime; Single instruction multiple
                 data; single instruction, multiple data (SIMD)
                 processing elements; Systolic arrays; Throughput;
                 Training; Transformers",
}

@Article{Meher:2025:EDI,
  author =       "Pramod Kumar Meher and Supriya Aggarwal",
  title =        "Efficient Design and Implementation of Scale-Free
                 {CORDIC} With Mutually Exclusive Micro-Rotations",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "72",
  number =       "5",
  pages =        "2243--2251",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3549974",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Accuracy; Arithmetic circuits; Circuits; Complexity
                 theory; CORDIC; CORDIC algorithm; CORDIC circuit;
                 Hardware; Matrix decomposition; Pipelines; Power
                 demand; Reviews; scale-free CORDIC; sinusoidal
                 function; Taylor series; Vectors",
}

@Article{Paramasivam:2025:EPN,
  author =       "C. Paramasivam and Sandeep Singh Chauhan and
                 Veerpratap Meena and A. Sreejagathi and B. A. V. N.
                 Hasini and K. L. K. Kishore and T. V. N. G.
                 Vamsikrishna and M. Durga Ananta Sai and Abdessamad
                 Didi",
  title =        "Enhanced Performance of New Scaling-Free {CORDIC} for
                 Memory-Based {Fast Fourier Transform} Architecture",
  journal =      j-IEEE-ACCESS,
  volume =       "13",
  pages =        "19828--19844",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ACCESS.2025.3530684",
  ISSN =         "2169-3536",
  ISSN-L =       "2169-3536",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Access",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=6287639",
  keywords =     "Approximation algorithms; Computer architecture;
                 Coordinate rotation digital computer (CORDIC); Delays;
                 fast Fourier transform (FFT); Fast Fourier transforms;
                 Memory architecture; memory-based FFT architecture;
                 micro-rotation; Microprocessors; new scaling-free
                 CORIDC; Prediction algorithms; Read only memory;
                 scaling operation; Transforms; Vectors",
}

@Article{Paul:2025:CFP,
  author =       "Aditi Paul and Shaik Rafi Ahamed and Roy Paily
                 Palathinkal",
  title =        "Continuous Flow 4096-Point {FFT\slash IFFT} Hardware
                 Architecture for {5G} Applications",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  pages =        "1--14",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3583920",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "5G mobile communication; canonical signed digit (CSD);
                 Computer architecture; conflict-free memory addressing
                 (CFMA); continuous-flow; CORDIC; Delays; Discrete
                 Fourier transforms; Fast Fourier transform (FFT); Fast
                 Fourier transforms; Hardware; inverse fast Fourier
                 transform (IFFT); Low latency communication; Memory
                 management; radix-16; Real-time systems; Throughput",
}

@Article{Poczekajlo:2025:ENC,
  author =       "Pawel Poczekajlo and Leonid Moroz and Ewa Deelman and
                 Pawel Gepner",
  title =        "Evaluation of new {CORDIC} algorithms implemented on
                 {FPGA} for the {Givens Rotator}",
  journal =      j-J-COMPUT-SCI,
  volume =       "87",
  pages =        "102567",
  year =         "2025",
  DOI =          "https://doi.org/10.1016/j.jocs.2025.102567",
  ISSN =         "1877-7503 (print), 1877-7511 (electronic)",
  ISSN-L =       "1877-7503",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/g/givens-wallace.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S1877750325000444",
  acknowledgement = ack-nhfb,
  fjournal =     "Journal of Computational Science",
  journal-URL =  "https://www.sciencedirect.com/journal/journal-of-computational-science",
  keywords =     "Computer arithmetic; CORDIC; FPGA",
}

@InBook{Poczekajlo:2025:LLR,
  author =       "Pawel Poczekajlo and Leonid Moroz and Ewa Deelman and
                 Michela Taufer and Pawel Gepner and Jerzy Krawiec",
  booktitle =    "Computational Science -- {ICCS 2025}",
  title =        "Low Latency Recoding {CORDIC} Algorithm for {FPGA}
                 Implementation",
  publisher =    "Springer Nature Switzerland",
  pages =        "75--89",
  year =         "2025",
  DOI =          "https://doi.org/10.1007/978-3-031-97632-2_6",
  ISBN =         "3-031-97632-0",
  ISBN-13 =      "978-3-031-97632-2",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
}

@InProceedings{Ramyajothikumar:2025:RHU,
  author =       "Ramyajothikumar and S. Dhivya and S. Kiruthika and A.
                 Harivardani and PS. Hemma",
  booktitle =    "{2025 Devices for Integrated Circuit (DevIC)}",
  title =        "Reduced Hardware Utilization with Enhanced Error
                 Reduction for Arcsine\slash Arccosine Computation using
                 {CORDIC} Algorithm through the Utilization of a Look-Up
                 Table",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "5--9",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/DevIC63749.2025.11012191",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "component; Computational efficiency; formatting;
                 Hardware; insert; Integrated circuits; Iterative
                 methods; Power demand; Real-time systems; Signal
                 processing; Signal processing algorithms; style;
                 styling; Table lookup; Vectors",
}

@InProceedings{Rao:2025:SPC,
  author =       "Talla Srinivasa Rao and V. Bharathi Devarakonda and
                 Konadababu Amaradi and Bujjibabu Penumutchi and Ch.
                 Srinivasu and K. Babulu",
  booktitle =    "{2025 International Conference on Electronics,
                 Computing, Communication and Control Technology
                 (ICECCC)}",
  title =        "Semi-Pipelined {CORDIC} Framework: {FPGA} and {ASIC}
                 Implementation for Real-Time Applications",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ICECCC65144.2025.11064155",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ASIC; Carry Select Adder (CSLA); Computer
                 architecture; CORDIC; Delays; Field programmable gate
                 arrays; FPGA; Hardware; Logic gates; Power demand;
                 Radar signal processing; Real-time systems; Robot
                 kinematics; Semi-Pipelined CORDIC; Table lookup",
}

@InProceedings{Sharma:2025:DLL,
  author =       "Prashnat Sharma and Sourav Nath and Koushik Guha and
                 Krishna Lal Baishnab",
  booktitle =    "{2025 Devices for Integrated Circuit (DevIC)}",
  title =        "Design of Low-Latency, Area- and Power-Efficient
                 {CORDIC} Algorithms for Sine\slash Cosine
                 Floating-Point Computation",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "583--588",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/DevIC63749.2025.11012211",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adders; and Logic design; Approximate adder;
                 Approximate computing; Cordic; Digital signal
                 processing; Field programmable gate arrays; Hardware;
                 Low latency communication; Memory management;
                 Optimization; Power demand; Signal processing
                 algorithms; Trigonometric function",
}

@Article{Shen:2025:ECB,
  author =       "Wan Shen and Junye Jiang and Minghan Li and Shuanglong
                 Liu",
  title =        "Efficient {CORDIC}-based Activation Functions for
                 {RNN} Acceleration on {FPGAs}",
  journal =      "IEEE Transactions on Artificial Intelligence",
  volume =       "6",
  number =       "1",
  pages =        "199--210",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TAI.2024.3474648",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Activation function; Approximation
                 algorithms; Artificial intelligence; Computer
                 architecture; coordinate rotation digital computer
                 (CORDIC); Digital computers; Field programmable gate
                 arrays; field programmable gate arrays (FPGAs);
                 Hardware; hardware acceleration; Long short term
                 memory; recurrent neural networks (RNNs).; Table
                 lookup; Vectors",
}

@Article{Verma:2025:LWL,
  author =       "Anu Verma and Bishnu Prasad Das",
  title =        "Light-Weight Low-Latency Reconfigurable {CORDIC}
                 Architecture With a New Non-Power-of-2 Angle Set of
                 Microrotations",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "72",
  number =       "10",
  pages =        "5861--5871",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3546823",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Accuracy; ASIC; Complexity theory; Computer
                 architecture; Convergence; Coordinate rotation digital
                 computer (CORDIC); FPGA; Hardware; Pipelines;
                 reconfigurable CORDIC; Signal processing algorithms;
                 Table lookup; Taylor series; Trajectory",
}

@InProceedings{Vorontsov:2025:CAM,
  author =       "Konstantin V. Vorontsov and Michael N. Baldaev and
                 Sergey A. Chechenya and Sergey V. Soldatkin and Anton
                 Y. Boev and Anastasia O. Khodakova",
  booktitle =    "{2025 7th International Youth Conference on Radio
                 Electronics, Electrical and Power Engineering
                 (REEPE)}",
  title =        "A Combined Algorithm for Measuring the Frequency and
                 Bandwidth of a Signal with Increased Accuracy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--5",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/REEPE63962.2025.10970860",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; CORDIC; Current measurement; Digital Signal
                 Processing; Fast Fourier Transform; Field Programmable
                 Gate Array; Field programmable gate arrays; Frequency
                 measurement; Linear Frequency Modulation; Power
                 measurement; Signal processing algorithms; Software
                 algorithms; Time measurement; Time-frequency analysis;
                 Velocity measurement",
}

@InProceedings{Wen:2025:DRT,
  author =       "Mingrong Wen and Tao Fu and Yinbing An",
  booktitle =    "{2025 7th International Conference on Electronics and
                 Communication, Network and Computer Technology
                 (ECNCT)}",
  title =        "Design of a Real-Time Spectrum Acquisition and
                 Analysis System Based on {10G} Optical {Ethernet}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "145--149",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ECNCT66493.2025.11172444",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "10G Ethernet; CORDIC; Digital downconversion; EPON;
                 FFT; Field programmable gate arrays; FPGA; High-speed
                 optical techniques; IEEE 802.3 Standard; Loss
                 measurement; Optical design; Optical sensors; Optical
                 signal processing; Real-time spectrum analysis;
                 Real-time systems; Spectral analysis",
}

@InProceedings{Wu:2025:NFC,
  author =       "Junyi Wu and Hongge Li and Yumeng Liu and Yuanfei Ren
                 and Weiheng Guo",
  booktitle =    "{2025 23rd IEEE Interregional NEWCAS Conference
                 (NEWCAS)}",
  title =        "Nonlinear Function Computation Based on {CORDIC} with
                 Stochastic Bitstreams",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "500--504",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/NewCAS64648.2025.11107008",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Binary sequences; Computational efficiency;
                 CORDIC-SC algorithms; Encoding; Energy efficiency;
                 Iterative algorithms; Logic circuits; Low latency
                 communication; Neural networks; Nonlinear function
                 computation; Polynomials; Stochastic bitstreams;
                 Stochastic computing",
}

@Article{Xie:2025:ULL,
  author =       "Liangbo Xie and Zhiyong Zhou and Mu Zhou and Hui
                 Chen",
  title =        "Ultra-Low Latency Generalized Architecture for Complex
                 Nth Root and Nth Power Computation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  pages =        "1--14",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3605376",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Accuracy; Approximation algorithms; complex Nth
                 powers; complex Nth roots; Computer architecture;
                 Convergence; CORDIC; Delays; Hardware; Power demand;
                 PWL; Real-time systems; Signal processing algorithms;
                 Vectors; VLSI architecture",
}

@InProceedings{Yadav:2025:REL,
  author =       "Abhishek Yadav and Ayush Dixit and Utsav Jana and
                 Masahiro Fujita and Binod Kumar",
  booktitle =    "{2025 IEEE Computer Society Annual Symposium on VLSI
                 (ISVLSI)}",
  title =        "Resource-Efficient {LSTM} Architecture for Keyword
                 Spotting with {CORDIC-Activation} Approximation",
  volume =       "1",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1--6",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISVLSI65124.2025.11130307",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accelerator; Computational efficiency; Hardware;
                 Inference; Latency; Long short term memory; Long
                 short-term memory; Memory management; Parallel
                 processing; Processing elements; Quantization (signal);
                 Real-time systems; Resource management; Software
                 development management; Very large scale integration",
}

@Article{Yen:2025:FQS,
  author =       "Mao-Hsu Yen and Hoang-Yang Lu and Guang-Yan Li and
                 Yuan-Cheng Xu and Yi-Jang Li and S. Pourmohammad
                 Azizi",
  title =        "Flexible Quadrature Spatial Modulation: Transmission,
                 Detection, and Implementation",
  journal =      j-IEEE-TRANS-CIRCUITS-SYST-1,
  volume =       "72",
  number =       "8",
  pages =        "4261--4272",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/TCSI.2025.3531908",
  ISSN =         "1549-8328 (print), 1558-0806 (electronic)",
  ISSN-L =       "1549-8328",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Transactions on Circuits and Systems I: Regular
                 Papers",
  journal-URL =  "https://ieeexplore.ieee.org/xpl/RecentIssue.jsp?punumber=8919",
  keywords =     "Computer architecture; CORDIC; Detectors; Hardware;
                 Merging; MIMO; Modulation; QSM; Slot antennas; Symbols;
                 Transmitting antennas; Vectors; VLSI",
}

@InProceedings{Zhang:2025:APC,
  author =       "Xiyuan Zhang and Xueyong Liu",
  booktitle =    "{2025 IEEE 7th International Conference on
                 Communications, Information System and Computer
                 Engineering (CISCE)}",
  title =        "An Adaptive Precision {CORDIC} Processor with {UART}
                 Interface for {FPGA}-based Edge Computing",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "1015--1020",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/CISCE65916.2025.11065818",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Adaptive control; adaptive control; Computer
                 architecture; Coordinate Rotation Digital Computer;
                 Digital computers; Edge computing; edge computing;
                 Field programmable gate arrays; FPGA; Process control;
                 Program processors; Signal processing; Technological
                 innovation; Universal Asynchronous
                 Receiver/Transmitter",
}

@Article{Zhang:2025:CLD,
  author =       "Yuncheng Zhang and Zezheng Liu and Duo Li and Minzhe
                 Tang and Yi Zhang and H{\'o}ngy{\`e} Hu{\'a}ng and
                 Dingxin Xu and Waleed Madany and Ashbir Aviat Fadila
                 and Wenqian Wang and Yuang Xiong and Daxu Zhang and
                 Garry Pranata Kusuma and Hiroyuki Sakai and Kazuaki
                 Kunihiro and Atsushi Shirane and Kenichi Okada",
  title =        "{CORDIC}-Less Digital Polar Transmitter Architecture
                 Based on Delta--Sigma Modulator",
  journal =      j-IEEE-J-SOLID-STATE-CIRCUITS,
  pages =        "1--14",
  year =         "2025",
  CODEN =        "IJSCBC",
  DOI =          "https://doi.org/10.1109/JSSC.2025.3611233",
  ISSN =         "0018-9200 (print), 1558-173X (electronic)",
  ISSN-L =       "0018-9200",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  fjournal =     "IEEE Journal of Solid-State Circuits",
  keywords =     "256-quadratic-amplitude modulation (QAM); Computer
                 architecture; coordinate rotation digital computer
                 (CORDIC); Delta-sigma modulation; delta-sigma modulator
                 (DSM); digital predistortion (DPD)-less; digital
                 transmitter (TX); Linearity; Phase modulation; polar;
                 Power demand; Power generation; Predistortion;
                 Quadrature amplitude modulation; Switches; Wideband",
}

@InProceedings{Zhang:2025:PEC,
  author =       "Yuncheng Zhang and Zezheng Liu and Duo Li and Minzhe
                 Tang and Yi Zhang and Hongye Huang and Dingxin Xu and
                 Waleed Madany and Ashbir Aviat Fadila and Wenqian Wang
                 and Yuang Xiong and Daxu Zhang and Garry Pranata Kusuma
                 and Hiroyuki Sakai and Kazuaki Kunihiro and Atsushi
                 Shirane and Kenichi Okada",
  booktitle =    "{2025 IEEE International Solid-State Circuits
                 Conference (ISSCC)}",
  title =        "5.6 A Power-Efficient {CORDIC-Less} Digital Polar
                 Transmitter Using 1b {DSM}-based {PA} Supporting
                 {256-QAM}",
  volume =       "68",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "100--103",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ISSCC49661.2025.10904639",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Bandwidth; Baseband; Linearity; Phase locked loops;
                 Phase modulation; Power amplifiers; Switches; Switching
                 circuits; Systems architecture; Transmitters",
}

@InProceedings{Zhao:2025:RVP,
  author =       "Ruixiao Zhao and Min Xie and Xinchen Li and Yujie
                 Zhang",
  booktitle =    "{2025 IEEE 14th International Conference on
                 Communications, Circuits and Systems (ICCCAS)}",
  title =        "A {RISC-V} Processor with Optimized {CORDIC}-based
                 Trigonometric Function Accelerator",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "155--159",
  year =         "2025",
  DOI =          "https://doi.org/10.1109/ICCCAS65806.2025.11102696",
  bibdate =      "Mon Oct 27 10:32:44 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/risc-v.bib",
  acknowledgement = ack-nhfb,
  keywords =     "Accuracy; Circuits and systems; Computational
                 efficiency; Computer architecture; CORDIC; Hardware;
                 instruction set extension; Instruction sets; RISC-V;
                 Table lookup; trigonometric function",
}

@Article{Jarray:2026:LCC,
  author =       "Nedra Jarray and Majdi Elhajji and Abdelkrim Zitouni",
  title =        "Low-cost compression architecture based on extended
                 {DCT} algorithm",
  journal =      j-INTEGRATION-VLSI-J,
  volume =       "106",
  pages =        "102568",
  year =         "2026",
  CODEN =        "IVJODL",
  DOI =          "https://doi.org/10.1016/j.vlsi.2025.102568",
  ISSN =         "0167-9260 (print), 1872-7522 (electronic)",
  ISSN-L =       "0167-9260",
  bibdate =      "Wed Oct 29 14:21:46 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib",
  URL =          "https://www.sciencedirect.com/science/article/pii/S0167926025002251",
  acknowledgement = ack-nhfb,
  fjournal =     "Integration, the VLSI Journal",
  journal-URL =  "https://www.sciencedirect.com/journal/integration/issues",
  keywords =     "Cordic DCT; FPGA design; Image compression; Loeffler
                 DCT; Wireless capsule endoscopy",
}

%%% ====================================================================
%%% Cross-referenced entries must come last:
@Book{Wilkinson:1965:AEP,
  author =       "J. H. Wilkinson",
  booktitle =    "The Algebraic Eigenvalue Problem",
  title =        "The Algebraic Eigenvalue Problem",
  publisher =    pub-OXFORD,
  address =      pub-OXFORD:adr,
  pages =        "xviii + 662",
  year =         "1965",
  ISBN =         "0-19-853403-5",
  ISBN-13 =      "978-0-19-853403-7",
  LCCN =         "QA218 .W686 1965",
  MRclass =      "65.40",
  MRnumber =     "MR0184422 (32 \#1894)",
  MRreviewer =   "A. S. Householder",
  bibdate =      "Tue Aug 15 18:20:34 MDT 1995",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/l/lanczos-cornelius.bib;
                 https://www.math.utah.edu/pub/bibnet/authors/w/wilkinson-james-hardy.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/master.bib",
  shorttableofcontents = "1. Theoretical Background \\
                 2. Perturbation Theory \\
                 3. Error Analysis \\
                 4. Solution of Linear Algebraic Equations \\
                 5. Hermitian Matrices \\
                 6. Reduction of a General Matrix to Condensed Form \\
                 7. Eigenvalues of Matrices of Condensed Forms \\
                 8. The $ L R $ and $ Q R $ Algorithms \\
                 9. Iterative Methods \\
                 Bibliography / 649 \\
                 Index / 657",
  tableofcontents = "1. Theoretical Background \\
                 Introduction / 1 \\
                 Definitions / 2 \\
                 Eigenvalues and eigenvectors of the transposed matrix /
                 3 \\
                 Distinct eigenvalues / 4 \\
                 Similarity transformations / 6 \\
                 Multiple eigenvalues and canonical forms for general
                 matrices / 7 \\
                 Defective system of eigenvectors / 9 \\
                 The Jordan (classical) canonical form / 10 \\
                 The elementary divisors / 12 \\
                 Companion matrix of the characteristic polynomial of
                 $A$ / 12 \\
                 Non-derogatory matrices / 13 \\
                 The Frobenius (rational) canonical form / 15 \\
                 Relationship between the Jordan and Frobenius canonical
                 forms / 16 \\
                 Equivalence transformations / 17 \\
                 Lambda matrices / 18 \\
                 Elementary operations / 19 \\
                 Smith's canonical form / 19 \\
                 The highest common factor of $k$-rowed minors of a
                 $A$-matrix / 22 \\
                 Invariant factors of $ (A - \lambda M) $ / 22 \\
                 The triangular canonical form / 24 \\
                 Hermitian and symmetric matrices / 24 \\
                 Elementary properties of Hermitian matrices / 25 \\
                 Complex symmetric matrices / 26 \\
                 Reduction to triangular form by unitary transformations
                 / 27 \\
                 Quadratic forms / 27 \\
                 Necessary and sufficient conditions for positive
                 definiteness / 28 \\
                 Differential equations with constant coefficients / 30
                 \\
                 Solutions corresponding to non-linear elementary
                 divisors / 31 \\
                 Differential equations of higher order / 32 \\
                 Second-order equations of special form / 34 \\
                 Explicit solution of $ B \ddot{y} = -A y$ / 35 \\
                 Equations of the form $(AB - \lambda I) x = 0$ / 35 \\
                 The minimum polynomial of a vector / 36 \\
                 The minimum polynomial of a matrix / 37 \\
                 Cayley--Hamilton theorem / 38 \\
                 Relation between minimum polynomial and canonical forms
                 / 39 \\
                 Principal vectors / 42 \\
                 Elementary similarity transformations / 43 \\
                 Properties of elementary matrices / 45 \\
                 Reduction to triangular canonical form by elementary
                 similarity transformations / 46 \\
                 Elementary unitary transformations / 47 \\
                 Elementary unitary Hermitian matrices / 48 \\
                 Reduction to triangular form by elementary unitary
                 transformations / 50 \\
                 Normal matrices / 51 \\
                 Commuting matrices / 52 \\
                 Eigenvalues of $A B$ / 54 \\
                 Vector and matrix norms / 55 \\
                 Subordinate matrix norms / 56 \\
                 The Euclidean and spectral norms / 57 \\
                 Norms and limits / 58 \\
                 Avoiding use of infinite matrix series / 60 \\
                 2. Perturbation Theory \\
                 Introduction / 62 \\
                 Ostrowski's theorem on continuity of the eigenvalues /
                 63 \\
                 Algebraic functions / 64 \\
                 Numerical examples / 65 \\
                 Perturbation theory for simple eigenvalues / 66 \\
                 Perturbation of corresponding eigenvectors / 67 \\
                 Matrix with linear elementary divisors / 68 \\
                 First-order perturbations of eigenvalues / 68 \\
                 First-order perturbations of eigenvectors / 69 \\
                 Higher-order perturbations / 70 \\
                 Multiple eigenvalues / 70 \\
                 Gerschgorin's theorems / 71 \\
                 Perturbation theory based on Gerschgorin's theorems /
                 72 \\
                 Case 1. Perturbation of a simple eigenvalue $\lambda_1$
                 of a matrix having linear elementary divisors / 72 \\
                 Case 2. Perturbation of a multiple eigenvalue
                 $\lambda_1$ of a matrix having linear elementary
                 divisors / 75 \\
                 Case 3. Perturbation of a simple eigenvalue of a matrix
                 having one or more non-linear elementary divisors / 77
                 \\
                 Case 4. Perturbations of the eigenvalues corresponding
                 to a non-linear elementary divisor of a non-derogatory
                 matrix / 79 \\
                 Case 5. Perturbations of eigenvalues $\lambda_i$ when
                 there is more than one divisor involving $(\lambda_i -
                 \lambda_)$ and at least one of them is non-linear / 80
                 \\
                 Perturbations corresponding to the general distribution
                 of non-linear divisors / 81 \\
                 Perturbation theory for the eigenvectors from Jordan
                 canonical form / 81 \\
                 Perturbations of eigenvectors corresponding to a
                 multiple eigenvalue (linear elementary divisors) / 83
                 \\
                 Limitations of perturbation theory / 84 \\
                 Relationships between the $s_i$ / 85 \\
                 The condition of a computing problem / 86 \\
                 Condition numbers / 86 \\
                 Spectral condition number of A with respect to its
                 eigenproblem / 87 \\
                 Properties of spectral condition number / 88 \\
                 Invariant properties of condition numbers / 89 \\
                 Very ill-conditioned matrices / 90 \\
                 Perturbation theory for real symmetric matrices / 93
                 \\
                 Unsymmetric perturbations / 93 \\
                 Symmetric perturbations / 94 \\
                 Classical techniques / 94 \\
                 Symmetric matrix of rank unity / 97 \\
                 Extremal properties of eigenvalues / 98 \\
                 Minimax characterization of eigenvalues / 99 \\
                 Eigenvalues of the sum of two symmetric matrices / 101
                 \\
                 Practical applications / 102 \\
                 Further applications of minimax principle / 103 \\
                 Separation theorem / 103 \\
                 The Wielandt--Hoffman theorem / 104 \\
                 3. Error Analysis \\
                 Introduction / 110 \\
                 Fixed-point operations / 110 \\
                 Accumulation of inner-products / 111 \\
                 Floating-point operations / 112 \\
                 Simplified expressions for error bounds / 113 \\
                 Error bounds for some basic floating-point computations
                 / 114 \\
                 Bounds for norms of the error matrices / 115 \\
                 Accumulation of inner-products in floating-point
                 arithmetic / 116 \\
                 Error bounds for some basic $\fl_2( )$ computations /
                 117 \\
                 Computation of square roots / 118 \\
                 Block-floating vectors and matrices / 119 \\
                 Fundamental limitations oft-digit computation / 120 \\
                 Eigenvalue techniques based on reduction by similarity
                 transformations / 123 \\
                 Error analysis of methods based on elementary
                 non-unitary transformations / 124 \\
                 Error analysis of methods based on elementary unitary
                 transformations / 126 \\
                 Superiority of the unitary transformation / 128 \\
                 Real symmetric matrices / 129 \\
                 Limitations of unitary transformations / 129 \\
                 Error analysis of floating-point computation of plane
                 rotations / 131 \\
                 Multiplication by a plane rotation / 133 \\
                 Multiplication by a sequence of plane rotations / 134
                 \\
                 Error in product of approximate plane rotations / 139
                 \\
                 Errors in similarity transforms / 140 \\
                 Symmetric matrices / 141 \\
                 Plane rotations in fixed-point arithmetic / 143 \\
                 Alternative computation of $\sin \theta$ and $\cos
                 \theta$ / 145 \\
                 Pre-multiplication by an approximate fixed-point
                 rotation / 145 \\
                 Multiplication by a sequence of plane rotations
                 (fixed-point) / 147 \\
                 The computed product of an approximate set of plane
                 rotations / 148 \\
                 Errors in similarity transformations / 148 \\
                 General comments on the error bounds / 151 \\
                 Elementary Hermitian matrices in floating-point / 152
                 \\
                 Error analysis of the computation of an elementary
                 Hermitian matrix / 153 \\
                 Numerical example / 156 \\
                 Pre-multiplication by an approximate elementary
                 Hermitian matrix / 157 \\
                 Multiplication by a sequence of approximate elementary
                 Hermitians / 160 \\
                 Non-unitary elementary matrices analogous to plane
                 rotations / 162 \\
                 Non-unitary elementary matrices analogous to elementary
                 Hermitian matrices / 163 \\
                 Pre-multiplication by a sequence of non-unitary
                 matrices / 165 \\
                 A priori error bounds / 166 \\
                 Departure from normality / 167 \\
                 Simple examples / 169 \\
                 A posteriori bounds / 170 \\
                 A posteriori bounds for normal matrices / 170 \\
                 Rayleigh quotient / 172 \\
                 Error in Rayleigh quotient / 173 \\
                 Hermitian matrices / 174 \\
                 Pathologically close eigenvalues / 176 \\
                 Non-normal matrices / 178 \\
                 Error analysis for a complete eigensystem / 180 \\
                 Numerical example / 181 \\
                 Conditions limiting attainable accuracy / 181 \\
                 Non-linear elementary, divisors / 182 \\
                 Approximate invariant subspaces / 184 \\
                 Almost normal matrices / 187 \\
                 4. Solution of Linear Algebraic Equations \\
                 Introduction / 189 \\
                 Perturbation theory / 189 \\
                 Condition numbers / 191 \\
                 Equilibrated matrices / 192 \\
                 Simple practical examples / 193 \\
                 Condition of matrix of eigenvectors / 193 \\
                 Explicit solution / 194 \\
                 General comments on condition of matrices / 195 \\
                 Relation of ill-conditioning to near-singularity / 196
                 \\
                 Limitations imposed by t-digit arithmetic / 197 \\
                 Algorithms for solving linear equations / 198 \\
                 Gaussian elimination / 200 \\
                 Triangular decomposition / 201 \\
                 Structure of triangular decomposition matrices / 201
                 \\
                 Explicit expressions for elements of the triangles /
                 202 \\
                 Breakdown of Gaussian elimination / 204 \\
                 Numerical stability / 205 \\
                 Significance of the interchanges / 206 \\
                 Numerical example / 207 \\
                 Error analysis of Gaussian elimination / 209 \\
                 Upper bounds for the perturbation matrices using
                 fixed-point arithmetic / 211 \\
                 Upper bound for elements of reduced matrices / 212 \\
                 Complete pivoting / 212 \\
                 Practical procedure with partial pivoting / 214 \\
                 Floating-point error analysis / 214 \\
                 Floating-point decomposition without pivoting / 215 \\
                 Loss of significant figures / 217 \\
                 A popular fallacy / 217 \\
                 Matrices of special form / 218 \\
                 Gaussian elimination on a high-speed computer / 220 \\
                 Solutions corresponding to different right-hand sides /
                 221 \\
                 Direct triangular decomposition / 221 \\
                 Relations between Gaussian elimination and direct
                 triangular decomposition / 223 \\
                 Examples of failure and non-uniqueness of decomposition
                 / 224 \\
                 Triangular decomposition with row interchanges / 225
                 \\
                 Error analysis of triangular decomposition / 227 \\
                 Evaluation of determinants / 228 \\
                 Cholesky decomposition / 229 \\
                 Symmetric matrices which are not positive definite /
                 230 \\
                 Error analysis of Cholesky decomposition in fixed-point
                 arithmetic / 231 \\
                 An ill-conditioned matrix / 233 \\
                 Triangularization using elementary Hermitian matrices /
                 233 \\
                 Error analysis of Householder triangularization / 236
                 \\
                 Triangularization by elementary stabilized matrices of
                 the type M1, / 236 \\
                 Evaluation of determinants of leading principal minors
                 / 237 \\
                 Triangularization by plane rotations / 239 \\
                 Error analysis of Givens reduction / 240 \\
                 Uniqueness of orthogonal triangularization / 241 \\
                 Schmidt orthogonalization / 242 \\
                 Comparison of the methods of triangularization / 244
                 \\
                 Back-substitution / 247 \\
                 High accuracy of computed solutions of triangular sets
                 of equations / 249 \\
                 Solution of a general set of equations / 251 \\
                 Computation of the inverse of a general matrix / 252
                 \\
                 Accuracy of computed solutions / 253 \\
                 Ill-conditioned matrices which give no small pivots /
                 254 \\
                 Iterative improvements of approximate solution / 255
                 \\
                 Effect of rounding errors on the iterative process /
                 256 \\
                 The iterative procedure in fixed-point computation /
                 257 \\
                 Simple example of iterative procedure / 258 \\
                 General comments on the iterative procedure / 260 \\
                 Related iterative procedures / 261 \\
                 Limitations of the iterative procedure / 261 \\
                 Rigorous justification of the iterative method / 262
                 \\
                 5. Hermitian Matrices \\
                 Introduction / 265 \\
                 The classical Jacobi method for real symmetric matrices
                 / 266 \\
                 Rate of convergence / 267 \\
                 Convergence to fixed diagonal matrix / 268 \\
                 Serial Jacobi method / 269 \\
                 The Gerschgorin discs / 269 \\
                 Ultimate quadratic convergence of Jacobi methods / 270
                 \\
                 Close and multiple eigenvalues / 271 \\
                 Numerical examples / 273 \\
                 Calculation of cos 8 and sin B / 274 \\
                 Simpler determination of the angles of rotation / 276
                 \\
                 The threshold Jacobi method / 277 \\
                 Calculation of the eigenvectors / 278 \\
                 Numerical example / 279 \\
                 Error analysis of the Jacobi method / 279 \\
                 Accuracy of the computed eigenvectors / 280 \\
                 Error bounds for fixed-point computation / 281 \\
                 Organizational problems / 282 \\
                 Givens' method / 282 \\
                 Givens' process on a computer with a two-level store /
                 284 \\
                 Floating-point error analysis of Givens' process / 286
                 \\
                 Fixed-point error analysis / 287 \\
                 Numerical example / 288 \\
                 Householder's method / 290 \\
                 Taking advantage of symmetry / 292 \\
                 Storage considerations / 293 \\
                 Householder's process on a computer with a two-level
                 store / 294 \\
                 Householder's method in fixed-point arithmetic / 294
                 \\
                 Numerical example / 296 \\
                 Error analyses of Householder's method / 297 \\
                 Eigenvalues of a symmetric tri-diagonal matrix / 299
                 \\
                 Sturm sequence property / 300 \\
                 Method of bisection / 302 \\
                 Numerical stability of the bisection method / 302 \\
                 Numerical example / 305 \\
                 General comments on the bisection method / 306 \\
                 Small eigenvalues / 307 \\
                 Close eigenvalues and small $\beta_i$ / 308 \\
                 Fixed-point computation of the eigenvalues / 312 \\
                 Computation of the eigenvectors of a tri-diagonal form
                 / 315 \\
                 Instability of the explicit expression for the
                 eigenvector / 316 \\
                 Numerical examples / 319 \\
                 Inverse iteration / 321 \\
                 Choice of initial vector $b$ / 322 \\
                 Error analysis / 323 \\
                 Numerical example / 325 \\
                 Close eigenvalues and small $\beta_i$ / 327 \\
                 Independent vectors corresponding to coincident
                 eigenvalues / 328 \\
                 Alternative method for computing the eigenvectors / 330
                 \\
                 Numerical example / 331 \\
                 Comments on the eigenproblem for tri-diagonal matrices
                 / 332 \\
                 Completion of the Givens and Householder methods / 333
                 \\
                 Comparison of methods / 334 \\
                 Quasi-symmetric tri-diagonal matrices / 335 \\
                 Calculation of the eigenvectors / 336 \\
                 Equations of the form $A x = \lambda B x$ and $A B x =
                 \lambda X $ / 337 \\
                 Numerical example / 339 \\
                 Simultaneous reduction of $A$ and $B$ to diagonal form
                 / 340 \\
                 Tri-diagonal $A$ and $B$ / 340 \\
                 Complex Hermitian matrices / 342 \\
                 6. Reduction of a General Matrix to Condensed Form \\
                 Introduction / 345 \\
                 Givens' method / 345 \\
                 Householder's method / 347 \\
                 Storage considerations / 350 \\
                 Error analysis / 350 \\
                 Relationship between the Givens and Householder methods
                 / 351 \\
                 Elementary stabilized transformations / 353 \\
                 Significance of the permutations / 355 \\
                 Direct reduction to Hessenberg form / 357 \\
                 Incorporation of interchanges / 359 \\
                 Numerical example / 360 \\
                 Error analysis / 363 \\
                 Related error analyses / 365 \\
                 Poor determination of the Hessenberg matrix / 368 \\
                 Reduction to Hessenberg form using stabilized matrices
                 of the type $ M^'_{ji} $ / 368 \\
                 The method of Krylov / 369 \\
                 Gaussian elimination by columns / 370 \\
                 Practical difficulties / 371 \\
                 Condition of O for some standard distributions of
                 eigenvalues / 372 \\
                 Initial vectors of grade less than n / 374 \\
                 Practical experience / 376 \\
                 Generalized Hessenberg processes / 377 \\
                 Failure of the generalized Hessenberg process / 378 \\
                 The Hessenberg method / 379 \\
                 Practical procedure / 380 \\
                 Relation between the Hessenberg method and earlier
                 methods / 381 \\
                 The method of Arnoldi / 382 \\
                 Practical considerations / 383 \\
                 Significance of re-orthogonalization / 385 \\
                 The method of Lanczos / 388 \\
                 Failure of procedure / 389 \\
                 Numerical example / 390 \\
                 The practical Lanczos process / 391 \\
                 Numerical example / 392 \\
                 General comments on the unsymmetric Lanczos process /
                 394 \\
                 The symmetric Lanczos process / 394 \\
                 Reduction of a Hessenberg matrix to a more compact form
                 / 395 \\
                 Reduction of a lower Hessenberg matrix to tri-diagonal
                 form / 396 \\
                 The use of interchanges / 397 \\
                 Effect of a small pivotal element / 398 \\
                 Error analysis / 399 \\
                 The Hessenberg process applied to a lower Hessenberg
                 matrix / 402 \\
                 Relationship between the Hessenberg process and the
                 Lanczos process / 402 \\
                 Reduction of a general matrix to tri-diagonal form /
                 403 \\
                 Comparison with Lanczos method / 404 \\
                 Re-examination of reduction to tri-diagonal form / 404
                 \\
                 Reduction from upper Hessenberg form to Frobenius form
                 / 405 \\
                 Effect of small pivot / 407 \\
                 Numerical example / 408 \\
                 General comments on the stability / 408 \\
                 Specialized upper Hessenberg form / 409 \\
                 Direct determination of the characteristic polynomial /
                 410 \\
                 7. Eigenvalues of Matrices of Condensed Forms \\
                 Introduction / 413 \\
                 Explicit polynomial form / 413 \\
                 Condition numbers of explicit polynomials / 416 \\
                 Some typical distributions of zeros / 417 \\
                 Final assessment of Krylov's method / 421 \\
                 General comments on explicit polynomials / 421 \\
                 Tri-diagonal matrices / 423 \\
                 Determinants of Hessenberg matrices / 426 \\
                 Effect of rounding errors / 427 \\
                 Floating-point accumulation / 428 \\
                 Evaluation by orthogonal transformations / 429 \\
                 Evaluation of determinants of general matrices / 431
                 \\
                 The generalized eigenvalue problem / 432 \\
                 Indirect determinations of the characteristic
                 polynomial / 432 \\
                 Le Verrier's method / 434 \\
                 Iterative methods based on interpolation / 435 \\
                 Asymptotic rate of convergence / 436 \\
                 Multiple zeros / 437 \\
                 Inversion of the functional relationship / 439 \\
                 The method of bisection / 440 \\
                 Newton's method / 441 \\
                 Comparison of Newton's method with interpolation / 442
                 \\
                 Methods giving cubic convergence / 443 \\
                 Laguerre's method / 443 \\
                 Complex zeros / 446 \\
                 Complex conjugate zeros / 447 \\
                 Bairstow's method / 449 \\
                 The generalized Bairstow method / 450 \\
                 Practical considerations / 452 \\
                 Effect of rounding errors on asymptotic convergence /
                 453 \\
                 The method of bisection / 453 \\
                 Successive linear interpolation / 455 \\
                 Multiple and pathologically close eigenvalues / 457 \\
                 Other interpolation methods / 458 \\
                 Methods involving the use of a derivative / 459 \\
                 Criterion for acceptance of a zero / 461 \\
                 Effect of rounding errors / 462 \\
                 Suppression of computed zeros / 464 \\
                 Deflation for Hessenberg matrices / 465 \\
                 Deflation of tri-diagonal matrices / 468 \\
                 Deflation by rotations or stabilized elementary
                 transformations / 469 \\
                 Stability of the deflation / 472 \\
                 General comments on deflation / 474 \\
                 Suppression of computed zeros / 474 \\
                 Suppression of computed quadratic factors / 475 \\
                 General comments on the methods of suppression / 476
                 \\
                 Asymptotic rates of convergence / 478 \\
                 Convergence in the large / 478 \\
                 Complex zeros / 481 \\
                 Recommendations / 482 \\
                 Complex matrices / 483 \\
                 Matrices containing an independent parameter / 483 \\
                 8. The $ L R $ and $ Q R $ Algorithms \\
                 Introduction / 485 \\
                 Real matrices with complex eigenvalues / 486 \\
                 The $ L R $ algorithm / 487 \\
                 Proof of the convergence of the $A_s$ / 489 \\
                 Positive definite Hermitian matrices / 493 \\
                 Complex conjugate eigenvalues / 494 \\
                 Introduction of interchanges / 498 \\
                 Numerical example / 499 \\
                 Convergence of the modified process / 501 \\
                 Preliminary reduction of original matrix / 501 \\
                 Invariance of upper Hessenberg form / 502 \\
                 Simultaneous row and column operations / 504 \\
                 Acceleration of convergence / 505 \\
                 Incorporation of shifts of origin / 506 \\
                 Choice of shift of origin / 507 \\
                 Deflation of the matrix / 509 \\
                 Practical experience of convergence / 510 \\
                 Improved shift strategy / 511 \\
                 Complex conjugate eigenvalues / 512 \\
                 Criticisms of the modified $ L R $ algorithm. / 515 \\
                 The $ Q R $ algorithm / 515 \\
                 Convergence of the $ Q R $ algorithm / 516 \\
                 Formal proof of convergence / 517 \\
                 Disorder of the eigenvalues / 519 \\
                 Eigenvalues of equal modulus / 520 \\
                 Alternative proof for the $ L R $ technique / 521 \\
                 Practical application of the $ Q R $ algorithm / 523
                 \\
                 Shifts of origin / 524 \\
                 Decomposition of A8 / 525 \\
                 Numerical example / 527 \\
                 Practical procedure / 527 \\
                 Avoiding complex conjugate shifts / 528 \\
                 Double $ Q R $ step using elementary Hermitians / 532
                 \\
                 Computational details / 534 \\
                 Decomposition of A8 / 535 \\
                 Double-shift technique for $ L R $ / 537 \\
                 Assessment of $ L R $ and $ Q R $ algorithms / 538 \\
                 Multiple eigenvalues / 540 \\
                 Special use of the deflation process / 543 \\
                 Symmetric matrices / 544 \\
                 Relationship between $ L R $ and $ Q R $ algorithms /
                 545 \\
                 Convergence of the Cholesky $ L R $ algorithm / 546 \\
                 Cubic convergence of the $ Q R $ algorithm / 548 \\
                 Shift of origin in Cholesky $ L R $ / 549 \\
                 Failure of the Cholesky decomposition / 550 \\
                 Cubically convergent $ L R $ process / 551 \\
                 Band matrices / 553 \\
                 $ Q R $ decomposition of a band matrix / 557 \\
                 Error analysis / 561 \\
                 Unsymmetric band matrices / 562 \\
                 Simultaneous decomposition and recombination in $ Q R $
                 algorithm / 565 \\
                 Reduction of band width / 567 \\
                 9. ITERATIVE METHODS \\
                 Introduction / 570 \\
                 The power method / 570 \\
                 Direct iteration with a single vector / 571 \\
                 Shift of origin / 572 \\
                 Effect of rounding errors / 573 \\
                 Variation of $p$ / 576 \\
                 {\em Ad hoc\/} choice of $p$ / 577 \\
                 Aitken's acceleration technique / 578 \\
                 Complex conjugate eigenvalues / 579 \\
                 Calculation of the complex eigenvector / 581 \\
                 Shift of origin / 582 \\
                 Non-linear divisors / 582 \\
                 Simultaneous determination of several eigenvalues / 583
                 \\
                 Complex matrices / 584 \\
                 Deflation / 584 \\
                 Deflation based on similarity transformations / 585 \\
                 Deflation using invariant subspaces / 587 \\
                 Deflation using stabilized elementary transformations /
                 587 \\
                 Deflation using unitary transformations / 589 \\
                 Numerical stability / 590 \\
                 Numerical example / 592 \\
                 Stability of unitary transformations / 594 \\
                 Deflation by non-similarity transformations / 596 \\
                 General reduction using invariant subspaces / 599 \\
                 Practical application / 601 \\
                 Treppen-iteration / 602 \\
                 Accurate determination of complex conjugate eigenvalues
                 / 604 \\
                 Very close eigenvalues / 606 \\
                 Orthogonalization techniques / 606 \\
                 Analogue of treppen-iteration using orthogonalization /
                 607 \\
                 Bi-iteration / 609 \\
                 Numerical example / 610 \\
                 Richardson's purification process / 614 \\
                 Matrix squaring / 615 \\
                 Numerical stability / 616 \\
                 Use of Chebyshev polynomials / 617 \\
                 General assessment of methods based on direct iteration
                 / 618 \\
                 Inverse iteration / 619 \\
                 Error analysis of inverse iteration / 620 \\
                 General comments on the analysis / 621 \\
                 Further refinement of eigenvectors / 622 \\
                 Non-linear elementary divisors / 626 \\
                 Inverse iteration with Hessenberg matrices / 626 \\
                 Degenerate cases / 627 \\
                 Inverse iteration with band matrices / 628 \\
                 Complex conjugate eigenvectors / 629 \\
                 Error analysis / 631 \\
                 Numerical example / 633 \\
                 The generalized eigenvalue problem / 633 \\
                 Variation of approximate eigenvalues / 635 \\
                 Refinement of eigensystems / 637 \\
                 Numerical example / 639 \\
                 Refinement of the eigenvectors / 641 \\
                 Complex conjugate eigenvalues / 643 \\
                 Coincident and pathologically close eigenvalues / 644
                 \\
                 Comments on the ACE programmes / 646 \\
                 Bibliography / 649 \\
                 Index / 657",
}

@Proceedings{AFIPS:1971:ACP,
  editor =       "Anonymous",
  key =          "AFIPS SJCC '71",
  booktitle =    "1971 Spring Joint Computer Conference, May 18--20,
                 1971, Atlantic City, New Jersey",
  title =        "1971 Spring Joint Computer Conference, May 18--20,
                 1971, Atlantic City, New Jersey",
  volume =       "38",
  publisher =    pub-AFIPS,
  address =      pub-AFIPS:adr,
  pages =        "631",
  year =         "1971",
  LCCN =         "????",
  bibdate =      "Fri Sep 16 10:47:01 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib",
  series =       "AFIPS conference proceedings",
  acknowledgement = ack-nj # " and " # ack-nhfb,
}

@Proceedings{Anonymous:1980:CPA,
  editor =       "Anonymous",
  key =          "COMPARCH '80",
  booktitle =    "{Conference Proceedings 7th Annual Symposium on
                 Computer Architecture, La Baule, France, 6--8 May
                 1980}",
  title =        "{Conference Proceedings 7th Annual Symposium on
                 Computer Architecture, La Baule, France, 6--8 May
                 1980}",
  volume =       "8(3)",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "333",
  year =         "1980",
  CODEN =        "CANED2",
  ISSN =         "0163-5964 (print), 1943-5851 (electronic)",
  bibdate =      "Fri Sep 16 10:53:10 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  series =       j-COMP-ARCH-NEWS,
  acknowledgement = ack-nj,
}

@Proceedings{IEEE:1980:IIS,
  editor =       "Anonymous",
  key =          "IEEE ISSCC '80",
  booktitle =    "{1980 IEEE International Solid-State Circuits
                 Conference Digest of Technical Papers, Philadelphia,
                 PA, USA, 13--15 February 1980}",
  title =        "{1980 IEEE International Solid-State Circuits
                 Conference Digest of Technical Papers, Philadelphia,
                 PA, USA, 13--15 February 1980}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "285",
  year =         "1980",
  ISBN =         "????",
  ISBN-13 =      "????",
  LCCN =         "????",
  bibdate =      "Fri Sep 16 10:55:14 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE Catalog Number 80CH1490-2-SSC.",
  acknowledgement = ack-nj,
}

@Proceedings{Kirk:1984:CRE,
  editor =       "Donald E. Kirk",
  booktitle =    "Conference Record: Eighteenth Asilomar Conference on
                 Circuits, Systems and Computers: Papers Presented
                 November 5--7, 1984, Pacific Grove, California",
  title =        "Conference Record: Eighteenth Asilomar Conference on
                 Circuits, Systems and Computers: Papers Presented
                 November 5--7, 1984, Pacific Grove, California",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "x + 456",
  year =         "1984",
  ISBN =         "0-8186-0673-8 (paperback), 0-8186-8673-1 (hard),
                 0-8186-4673-X (microfiche)",
  ISBN-13 =      "978-0-8186-0673-1 (paperback), 978-0-8186-8673-3
                 (hard), 978-0-8186-4673-7 (microfiche)",
  LCCN =         "TK 7801 A83 1984",
  bibdate =      "Thu Sep 08 13:41:13 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
}

@Proceedings{Hwang:1985:PSC,
  editor =       "Kai Hwang",
  booktitle =    "Proceedings: 7th Symposium on Computer Arithmetic,
                 June 4--6, 1985, University of Illinois, Urbana,
                 Illinois",
  title =        "Proceedings: 7th Symposium on Computer Arithmetic,
                 June 4--6, 1985, University of Illinois, Urbana,
                 Illinois",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xi + 343",
  year =         "1985",
  ISBN =         "0-8186-0632-0 (paperback), 0-8186-8632-4 (hard),
                 0-8186-4632-2 (microfiche)",
  ISBN-13 =      "978-0-8186-0632-8 (paperback), 978-0-8186-8632-0
                 (hard), 978-0-8186-4632-4 (microfiche)",
  LCCN =         "QA76.9.C62 S95 1985",
  bibdate =      "Thu Sep 08 00:11:41 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE catalog number 85CH2146-9. IEEE Computer Society
                 order number 632.",
  acknowledgement = ack-nj,
  keywords =     "ARITH-7",
}

@Proceedings{Irwin:1987:PSC,
  editor =       "Mary Jane Irwin and Renato Stefanelli",
  booktitle =    "Proceedings: 8th Symposium on Computer Arithmetic, May
                 19--21, 1987, Villa Olmo, Como, Italy",
  title =        "Proceedings: 8th Symposium on Computer Arithmetic, May
                 19--21, 1987, Villa Olmo, Como, Italy",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "ix + 277",
  month =        may,
  year =         "1987",
  DOI =          "https://doi.org/10.1109/ARITH1451.1987",
  ISBN =         "0-8186-0774-2 (paperback), 0-8186-4774-4 (microfiche),
                 0-8186-8774-6 (case)",
  ISBN-13 =      "978-0-8186-0774-5 (paperback), 978-0-8186-4774-1
                 (microfiche), 978-0-8186-8774-7 (case)",
  LCCN =         "QA 76.9 C62 S95 1987",
  bibdate =      "Wed Sep 14 20:52:21 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-8",
}

@Proceedings{ACM:1989:PSN,
  editor =       "{ACM}",
  booktitle =    "Proceedings, Supercomputing '89: November 13--17,
                 1989, Reno, Nevada",
  title =        "Proceedings, Supercomputing '89: November 13--17,
                 1989, Reno, Nevada",
  publisher =    pub-ACM,
  address =      pub-ACM:adr,
  pages =        "xviii + 849",
  year =         "1989",
  ISBN =         "0-89791-341-8",
  ISBN-13 =      "978-0-89791-341-6",
  LCCN =         "QA 76.5 S87 1989",
  bibdate =      "Wed Aug 28 06:48:31 MDT 1996",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 University of California MELVYL catalog.",
  note =         "IEEE 89CH2802-7.",
  acknowledgement = ack-nhfb,
  classification = "A0130C (Conference proceedings); A0270
                 (Computational techniques); A0500 (Statistical physics
                 and thermodynamics); A4700 (Fluid dynamics); B0100
                 (General electrical engineering topics); B0290
                 (Numerical analysis); B1130B (Computer-aided circuit
                 analysis and design); C4100 (Numerical analysis); C4240
                 (Programming and algorithm theory); C5440
                 (Multiprocessor systems and techniques); C5470
                 (Performance evaluation and testing); C6110B (Software
                 engineering techniques); C6150J (Operating systems);
                 C7000 (Computer applications)",
  keywords =     "benchmarking; computer applications; parallel
                 algorithms; parallel processing; performance
                 evaluation; performance measurements; performance
                 tools; pipeline processing; software environments;
                 supercomputer architectures; supercomputers ---
                 congresses; technology integration; vector algorithms",
  remark =       "89CM2802-7. ACM Order Number 415892. IEEE 89CH2802-7.
                 IEEE Computer Society Order Number 2021.",
}

@Proceedings{Ercegovac:1989:PSC,
  editor =       "Milo{\v{s}} D. Ercegovac and Earl E. {Swartzlander,
                 Jr.}",
  booktitle =    "Proceedings: 9th Symposium on Computer Arithmetic:
                 September 6--8, 1989, Santa Monica, California, {USA}",
  title =        "Proceedings: 9th Symposium on Computer Arithmetic:
                 September 6--8, 1989, Santa Monica, California, {USA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xv + 247",
  year =         "1989",
  ISBN =         "0-8186-8963-3 (case), 0-8186-5963-7 (microfiche)",
  ISBN-13 =      "978-0-8186-8963-5 (case), 978-0-8186-5963-8
                 (microfiche)",
  LCCN =         "QA 76.9 C62 S95 1989",
  bibdate =      "Thu Sep 01 22:36:52 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE catalog no. 89CH2757-3.",
  acknowledgement = ack-nhfb,
  confdate =     "6-8 Sept. 1989",
  conflocation = "Santa Monica, CA, USA",
  confsponsor =  "IEEE; IFIP; University of California",
  keywords =     "ARITH-9",
}

@Proceedings{Kornerup:1991:PIS,
  editor =       "Peter Kornerup and David W. Matula",
  booktitle =    "{Proceedings: 10th IEEE Symposium on Computer
                 Arithmetic: June 26--28, 1991, Grenoble, France}",
  title =        "{Proceedings: 10th IEEE Symposium on Computer
                 Arithmetic: June 26--28, 1991, Grenoble, France}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xiii + 282",
  year =         "1991",
  ISBN =         "0-8186-9151-4 (case), 0-8186-6151-8 (microfiche),
                 0-7803-0187-0 (library binding)",
  ISBN-13 =      "978-0-8186-9151-5 (case), 978-0-8186-6151-8
                 (microfiche), 978-0-7803-0187-0 (library binding)",
  LCCN =         "QA76.9.C62 S95 1991",
  bibdate =      "Thu Sep 01 23:18:52 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE catalog no. 91CH3015-5.",
  acknowledgement = ack-nhfb,
  confdate =     "26-28 June 1991",
  conflocation = "Grenoble, France",
  confsponsor =  "IEEE; CNRS; IMAG",
  keywords =     "ARITH-10",
}

@Proceedings{IEEE:1992:PIC,
  editor =       "{IEEE}",
  booktitle =    "Proceedings of the {IEEE 1992} Custom Integrated
                 Circuits Conference: the Westin Copley Place Hotel,
                 Boston, Massachusetts, May 3--6, 1992",
  title =        "Proceedings of the {IEEE} 1992 Custom Integrated
                 Circuits Conference: the Westin Copley Place Hotel,
                 Boston, Massachusetts, May 3--6, 1992",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "????",
  year =         "1992",
  ISBN =         "0-7803-0246-X, 0-7803-0247-8, 0-7803-0248-6",
  ISBN-13 =      "978-0-7803-0246-4, 978-0-7803-0247-1,
                 978-0-7803-0248-8",
  LCCN =         "????",
  bibdate =      "Sat Nov 29 10:08:17 2003",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE catalog no. 92CH3078-3.",
  acknowledgement = ack-nhfb,
  confdate =     "3-6 May 1992",
  conflocation = "Boston, MA, USA",
  confsponsor =  "IEEE",
}

@Proceedings{Swartzlander:1993:SCA,
  editor =       "Earl {Swartzlander, Jr.} and Mary Jane Irwin and
                 Graham Jullien",
  booktitle =    "Proceedings: 11th Symposium on Computer Arithmetic,
                 June 29--July 2, 1993, Windsor, Ontario",
  title =        "Proceedings: 11th Symposium on Computer Arithmetic,
                 June 29--July 2, 1993, Windsor, Ontario",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xii + 284",
  year =         "1993",
  ISBN =         "0-7803-1401-8 (softbound), 0-8186-3862-1 (casebound),
                 0-8186-3861-3 (microfiche)",
  ISBN-13 =      "978-0-7803-1401-6 (softbound), 978-0-8186-3862-6
                 (casebound), 978-0-8186-3861-9 (microfiche)",
  ISSN =         "0018-9340 (print), 1557-9956 (electronic)",
  ISSN-L =       "0018-9340",
  LCCN =         "QA 76.9 C62 S95 1993",
  bibdate =      "Thu Sep 01 22:58:49 1994",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE Transactions on Computers {\bf 43(8)}, 1994",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-11",
}

@Proceedings{Luk:1996:PSC,
  editor =       "Franklin T. Luk",
  booktitle =    "{Proceedings of the 1996 SPIE Conference on Advanced
                 Signal Processing Algorithms, Architectures, and
                 Implementations VI, 6--8 August, 1996, Denver,
                 Colorado}",
  title =        "{Proceedings of the 1996 SPIE Conference on Advanced
                 Signal Processing Algorithms, Architectures, and
                 Implementations VI, 6--8 August, 1996, Denver,
                 Colorado}",
  volume =       "2846",
  publisher =    pub-SPIE,
  address =      pub-SPIE:adr,
  pages =        "vii + 464",
  year =         "1996",
  ISBN =         "0-8194-2234-7",
  ISBN-13 =      "978-0-8194-2234-7",
  LCCN =         "????",
  bibdate =      "Tue Jun 14 18:19:43 2011",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "http://spiedigitallibrary.org/proceedings/resource/2/psisdg/2846/1",
  acknowledgement = ack-nhfb,
}

@Proceedings{Lang:1997:ISC,
  editor =       "Tomas Lang and Jean-Michel Muller and Naofumi Takagi",
  booktitle =    "13th {IEEE} Symposium on Computer Arithmetic:
                 proceedings, July 6--9, 1997, Asilomar, California,
                 {USA}",
  title =        "13th {IEEE} Symposium on Computer Arithmetic:
                 proceedings, July 6--9, 1997, Asilomar, California,
                 {USA}",
  volume =       "13",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xiii + 291",
  year =         "1997",
  ISBN =         "0-8186-7846-1, 0-8186-7847-X, 0-8186-7848-8",
  ISBN-13 =      "978-0-8186-7846-2, 978-0-8186-7847-9,
                 978-0-8186-7848-6",
  ISSN =         "1063-6889",
  LCCN =         "QA76.9.C62 S95 1997",
  bibdate =      "Fri Mar 27 09:56:17 MST 1998",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE Computer Society order number PR07846. IEEE Order
                 Plan catalog number 97CB36091.",
  series =       "Symposium on Computer Arithmetic",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-13",
  sponsor =      "IEEE.",
}

@Proceedings{Koren:1999:ISC,
  editor =       "Israel Koren and Peter Kornerup",
  booktitle =    "{14th IEEE Symposium on Computer Arithmetic:
                 proceedings: April 14--16, 1999, Adelaide, Australia}",
  title =        "{14th IEEE Symposium on Computer Arithmetic:
                 proceedings: April 14--16, 1999, Adelaide, Australia}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xi + 274",
  year =         "1999",
  DOI =          "https://doi.org/10.1109/ARITH.1999.762854",
  ISBN =         "0-7803-5609-8, 0-7695-0116-8, 0-7695-0118-4",
  ISBN-13 =      "978-0-7803-5609-2, 978-0-7695-0116-1,
                 978-0-7695-0118-5",
  ISSN =         "1063-6889",
  LCCN =         "QA76.6 .S887 1999",
  bibdate =      "Mon Feb 7 07:28:26 MST 2000",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE Computer Society Order Number PR00116. IEEE Order
                 Plan Catalog Number 99CB36336.",
  URL =          "http://computer.org/conferen/home/arith/;
                 http://www.ecs.umass.edu/ece/arith14/program.html",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-14",
  remark =       "Also known as ARITH-14.",
  source =       "Computer arithmetic",
  sponsor =      "IEEE.",
}

@Proceedings{Bajard:2003:ISC,
  editor =       "Jean Claude Bajard and Michael Schulte",
  booktitle =    "{16th IEEE Symposium on Computer Arithmetic: ARITH-16
                 2003: proceedings: Santiago de Compostela, Spain, June
                 15--18, 2003}",
  title =        "{16th IEEE Symposium on Computer Arithmetic: ARITH-16
                 2003: proceedings: Santiago de Compostela, Spain, June
                 15--18, 2003}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xii + 282",
  year =         "2003",
  ISBN =         "0-7695-1894-X",
  ISBN-13 =      "978-0-7695-1894-7",
  ISSN =         "1063-6889",
  LCCN =         "QA76.6 .S919 2003",
  bibdate =      "Sat Jul 20 17:45:12 2002",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  note =         "IEEE Computer Society order number PR01894. Selected
                 papers republished in {\em IEEE Transactions on
                 Computers}, {\bf 54}(3) (2005)
                 \cite{Schulte:2005:GEI}.",
  URL =          "http://www.dec.usc.es/arith16/;
                 https://ieeexplore.ieee.org/servlet/opac?punumber=8582",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-16",
}

@Proceedings{Montuschi:2005:PIS,
  editor =       "Paolo Montuschi and Eric (Eric Mark) Schwarz",
  booktitle =    "{Proceedings of the 17th IEEE Symposium on Computer
                 Arithmetic, ARITH-17 2005, June 27--29, 2005, Cape Cod,
                 Massachusetts, USA}",
  title =        "{Proceedings of the 17th IEEE Symposium on Computer
                 Arithmetic, ARITH-17 2005, June 27--29, 2005, Cape Cod,
                 Massachusetts, USA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xii + 298",
  year =         "2005",
  ISBN =         "0-7695-2366-8",
  ISBN-13 =      "978-0-7695-2366-8",
  LCCN =         "QA76.9.C62 .S95 2005",
  bibdate =      "Thu Sep 14 12:30:26 2006",
  bibsource =    "http://arith17.polito.it/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-17",
}

@Book{Muller:2006:EFA,
  author =       "Jean-Michel Muller",
  booktitle =    "Elementary Functions: Algorithms and Implementation",
  title =        "Elementary Functions: Algorithms and Implementation",
  publisher =    pub-BIRKHAUSER,
  address =      pub-BIRKHAUSER:adr,
  edition =      "Second",
  pages =        "xxii + 266",
  year =         "2006",
  ISBN =         "0-8176-4372-9",
  ISBN-13 =      "978-0-8176-4372-0",
  LCCN =         "QA331 .M866 2006",
  bibdate =      "Fri Jul 25 12:00:55 1997",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 z3950.loc.gov:7090/Voyager",
  price =        "US\$59.95",
  URL =          "http://perso.ens-lyon.fr/jean-michel.muller/SecondEdition.html;
                 http://www.springer.com/sgw/cda/frontpage/0,,4-40109-22-72377986-0,00.html",
  acknowledgement = ack-nhfb,
  subject =      "Functions; Data processing; Algorithms",
  tableofcontents = "Preface to the second edition \\
                 Preface to the first edition \\
                 Introduction / 1--7 \\
                 Some basic things about computer arithmetic / 9--24 \\
                 Part I. Algorithms based on polynomial approximation
                 and/or table lookup, multiple-precision evaluation of
                 functions / 25--25 \\
                 Polynomial or rational approximations / 27--66 \\
                 Table-based methods / 67--87 \\
                 Multiple-precision evaluation of functions / 89--100
                 \\
                 Part II. Shift-and-add algorithms / 101--101 \\
                 Introduction to shift-and-add algorithms / 103--131 \\
                 The CORDIC algorithm / 133--156 \\
                 Some other shift-and-add algorithms / 157--169 \\
                 Part III. Range reduction, final rounding and
                 exceptions / 171--171 \\
                 Range reduction / 173--191 \\
                 Final rounding / 193--216 \\
                 Miscellaneous / 217--223 \\
                 Examples of implementation / 225--232 \\
                 Bibliography / 233--259 \\
                 Index / 261--265",
}

@Proceedings{Woods:2008:RCA,
  editor =       "Roger Woods and Katherine Compton and Christos
                 Bouganis and Pedro C. Diniz",
  booktitle =    "{Reconfigurable Computing: Architectures, Tools and
                 Applications: 4th International Workshop, ARC 2008,
                 London, UK, March 26--28, 2008. Proceedings}",
  title =        "{Reconfigurable Computing: Architectures, Tools and
                 Applications: 4th International Workshop, ARC 2008,
                 London, UK, March 26--28, 2008. Proceedings}",
  volume =       "4943",
  publisher =    pub-SV,
  address =      pub-SV:adr,
  bookpages =    "xiv + 344",
  pages =        "xiv + 344",
  year =         "2008",
  DOI =          "https://doi.org/10.1007/978-3-540-78610-85",
  ISBN =         "3-540-78610-4",
  ISBN-13 =      "978-3-540-78610-8",
  ISSN =         "1611-3349",
  bibdate =      "Tue Oct 28 07:04:09 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  series =       ser-LNCS,
  acknowledgement = ack-nhfb,
  tableofcontents = "Intro \\
                 Title Page \\
                 Preface \\
                 Organization \\
                 Table of Contents \\
                 Synthesizing FPGA Circuits from Parallel Programs \\
                 From Silicon to Science: The Long Road to Production
                 Reconfigurable Supercomputing \\
                 The von Neumann Syndrome and the CS Education Dilemma
                 \\
                 Optimal Unroll Factor for Reconfigurable Architectures
                 \\
                 Introduction \\
                 Background and Related Work \\
                 Problem Statement \\
                 Proposed Methodology \\
                 Experimental Results \\
                 Conclusion and Future Work \\
                 Programming Reconfigurable Decoupled Application
                 Control Accelerator for Mobile Systems \\
                 Introduction \\
                 Architecture Reconfigurable Decoupled Application
                 Control Architecture \\
                 Embedded Shared Memory Programming Model \\
                 Dynamic Aspects \\
                 Method Wrappers \\
                 Dynamic Execution \\
                 Memory Access Scheduling \\
                 Synthesis for Architecture \\
                 Related Work \\
                 Results \\
                 Mapping of the Deblocking Kernel on the DSPFabric \\
                 Conclusion \\
                 DNA Physical Mapping on a Reconfigurable Platform \\
                 Introduction \\
                 Related Work \\
                 DNA Physical Mapping \\
                 Consecutive Ones Problem and Algorithm \\
                 Hardware/Software Solution \\
                 Comparing Clones \\
                 Constructing Column Sets \\
                 Hybrid Implementations \\
                 Experimental Results \\
                 Conclusions and Future Research \\
                 Hardware BLAST Algorithms with Multi-seeds Detection
                 and Parallel Extension \\
                 Introduction \\
                 BLAST Algorithm Overview \\
                 The Structure of Multi-seeds Detection and Parallel
                 Extension Engine \\
                 Multi-seeds Detecting \\
                 Successive Seeds Merging \\
                 Multi-seeds Extension \\
                 FPGA Implementation and Optimization \\
                 Multi-seeds Detection Array \\
                 Decomposing the Detection Array \\
                 The Algorithm of Merging Successive Seeds \\
                 Multi-channel Parallel Extension Strategy \\
                 Experiments and Performance Comparison \\
                 Conclusion: Highly Space Efficient Counters for Perl
                 Compatible Regular Expressions in FPGAs \\
                 Introduction \\
                 Related Work \\
                 Perl Compatible Regular Expressions Used in Network
                 Intrusion Detection Systems \\
                 Our Proposed 20-Bit SelectRAM Counter \\
                 A PCRE Exactly Block Based on the 20-Bit SelectRAM
                 Counter \\
                 A PCRE AtLeast Block Using the Proposed SelectRAM
                 Counter \\
                 A PCRE Between Block Using the 20-Bit SelectRAM Counter
                 \\
                 Kleene Star and Plus Blocks \\
                 Performance Evaluation and Comparison \\
                 Conclusions \\
                 A Custom Processor for a TDMA Solver in a CFD
                 Application \\
                 Introduction \\
                 CFD \\
                 The Problem High-Level Transformations \\
                 Example of Scheduling \\
                 Memory Requirements \\
                 The FPGA-Based Platform \\
                 Hardware Implementation \\
                 Address Generation \\
                 Cache Memory \\
                 Execution Units \\
                 Results and Conclusions \\
                 A High Throughput FPGA-Based Floating Point Conjugate
                 Gradient Implementation \\
                 Introduction \\
                 Background \\
                 Conjugate Gradient Method \\
                 Algorithm Description \\
                 Implementation \\
                 Overview \\
                 Performance \\
                 Floating Point Unit Operations \\
                 Results \\
                 Resource Utilization \\
                 Software Comparison and Discussion \\
                 Input/Ouput Considerations \\
                 Conclusions",
}

@Proceedings{Bruguera:2009:PIS,
  editor =       "Javier D. Bruguera and Marius Cornea and Debjit
                 DasSarma and John Harrison",
  booktitle =    "{Proceedings of the 19th IEEE Symposium on Computer
                 Arithmetic, June 8--10, 2009, Portland, Oregon, USA}",
  title =        "{Proceedings of the 19th IEEE Symposium on Computer
                 Arithmetic, June 8--10, 2009, Portland, Oregon, USA}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xi + 235",
  year =         "2009",
  ISBN =         "0-7695-3670-0, 1-4244-4329-6",
  ISBN-13 =      "978-0-7695-3670-5, 978-1-4244-4329-1",
  ISSN =         "1063-6889",
  LCCN =         "QA76.6 .S887 2009",
  bibdate =      "Fri Jun 12 12:24:37 2009",
  bibsource =    "http://www.ac.usc.es/arith19/;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/cryptography2000.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/master.bib;
                 https://www.math.utah.edu/pub/tex/bib/mathcw.bib",
  URL =          "http://www.ac.usc.es/arith19/",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-19",
  tableofcontents = "Keynote Talk \\
                 Anton: A Specialized Machine for Millisecond-Scale
                 Molecular Dynamics Simulations of Proteins / David E.
                 Shaw / 3 \\
                 Session 1: Algorithms and Number Systems \\
                 Efficient Data Structure and Algorithms for Sparse
                 Integers, Sets and Predicates / Jean E. Vuillemin / 7
                 \\
                 A Dual-Purpose Real/Complex Logarithmic Number System
                 ALU / Mark G. Arnold and Sylvain Collange / 15 \\
                 Selected RNS Bases for Modular Multiplication / J. C.
                 Bajard, M. Kaihara, and T. Plantard / 25 \\
                 Invited Talk \\
                 A Historical Perspective on Computer Arithmetic /
                 Stanley Mazor / 35 \\
                 Session 2: Arithmetic Hardware \\
                 Higher Radix Squaring Operations Employing
                 Left-to-Right Dual Recoding / David W. Matula / 39 \\
                 Advanced Clockgating Schemes for
                 Fused-Multiply-Add-Type Floating-Point Units / Jochen
                 Preiss, Maarten Boersma, and Silvia Melitta Mueller /
                 48 \\
                 Unified Approach to the Design of Modulo-$(2^n \pm 1)$
                 Adders Based on Signed-LSB Representation of Residues /
                 Ghassem Jaberipur and Behrooz Parhami / 57 \\
                 Session 3: Finite Fields and Cryptography \\
                 Subquadratic Space Complexity Multiplier for a Class of
                 Binary Fields Using Toeplitz Matrix Approach / M. A.
                 Hasan and C. Negre / 67 \\
                 Hybrid Binary-Ternary Joint Form and Its Application in
                 Elliptic Curve / Cryptography / Jithra Adikari, Vassil
                 Dimitrov, and Laurent Imbert / 76 \\
                 Polynomial Multiplication over Finite Fields Using
                 Field Extensions and Interpolation / Murat Cenk, Cetin
                 Kaya Koc, and Ferruh Ozbudak / 84 \\
                 Session 4: Mathematical Software \\
                 A New Binary Floating-Point Division Algorithm and Its
                 Software Implementation on the ST231 Processor /
                 Claude-Pierre Jeannerod, Herve Knochel, Christophe
                 Monat, Guillaume Revy, and Gilles Villard / 95 \\
                 Fast and Accurate Bessel Function Computation / John
                 Harrison / 104 \\
                 Implementation Specific Verification of Divide and
                 Square Root Instructions / Elena Guralnik, Ariel J.
                 Birnbaum, Anatoly Koyfinan, and Avi Kaplan / 114 \\
                 Session 5: Decimal Hardware \\
                 A Decimal Floating-Point Adder with Decoded Operands
                 and a Decimal Leading-Zero Anticipator / Liang-Kai Wang
                 and Michael J. Schulte / 125 \\
                 A High-Performance Significand BCD Adder with IEEE
                 754-2008 Decimal Rounding / Alvaro Vazquez and Elisardo
                 Antelo / 135 \\
                 Fully Redundant Decimal Arithmetic / Saeid Gorgin and
                 Ghassem Jaberipur / 145 \\
                 Session 6: Floating-Point Techniques \\
                 On the Computation of Correctly-Rounded Sums / P.
                 Kornerup, V. Lefevre, N. Louvet, and Jean-Michel Muller
                 / 155 \\
                 Multi-operand Floating-Point Addition / Alexandre F.
                 Tenca / 161 \\
                 Certified and Fast Computation of Supremum Norms of
                 Approximation Errors / Sylvain Chevillard, Mioara
                 Jolde{\c{s}}, and Christoph Lauter / 169 \\
                 Session 7: Decimal Transcendentals \\
                 Computation of Decimal Transcendental Functions Using
                 the CORDIC Algorithm / {\'A}lvaro V{\'a}zquez, Julio
                 Villalba, and Elisardo Antelo / 179 \\
                 Decimal Transcendentals via Binary / John Harrison /
                 187 \\
                 A 32-bit Decimal Floating-Point Logarithmic Converter /
                 Dongdong Chen, Yu Zhang, Younhee Choi, Moon Ho Lee, and
                 Seok-Bum Ko / 195 \\
                 Special Session on Automated Synthesis of Arithmetic
                 Operations \\
                 Datapath Synthesis for Standard-Cell Design / Reto
                 Zimmermann / 207 \\
                 Design Space Exploration for Power-Efficient
                 Mixed-Radix Ling Adders / Chung-Kuan Cheng / 212 \\
                 Challenges in Automatic Optimization of Arithmetic
                 Circuits / Ajay K. Verma, Philip Brisk, and Paolo Ienne
                 / 213 \\
                 Panel on Decimal Arithmetic in Industry \\
                 Energy and Delay Improvement via Decimal Floating Point
                 Units / Hossam A. H. Fahmy, Ramy Raafat, Amira M.
                 Abdel-Majeed, Rodina Samy, Torek ElDeeb, and Yasmin
                 Farouk / 221 \\
                 IEEE 754-2008 Decimal Floating-Point for Intel
                 Architecture Processors / Marius Cornea / 225 \\
                 Special Session on Interval Arithmetic \\
                 IEEE Interval Standard Working Group --- P1788: Current
                 Status / William Edmonson and Guillaume Melquiond / 231
                 \\
                 Author Index",
}

@Proceedings{Muller:2015:ISC,
  editor =       "Jean-Michel Muller and Arnaud Tisserand and Julio
                 Villalba",
  booktitle =    "{2015 IEEE 22nd Symposium on Computer Arithmetic
                 (ARITH 2015) Lyon, France, 22--24 June 2015}",
  title =        "{2015 IEEE 22nd Symposium on Computer Arithmetic
                 (ARITH 2015) Lyon, France, 22--24 June 2015}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xii + 176",
  year =         "2015",
  ISBN =         "1-4799-8665-8, 1-4799-8663-1",
  ISBN-13 =      "978-1-4799-8665-1, 978-1-4799-8663-7",
  ISSN =         "1063-6889",
  LCCN =         "QA76.9.C62 S95 2015",
  bibdate =      "Sat Aug 01 08:03:11 2015",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/mathcw.bib",
  URL =          "https://ieeexplore.ieee.org/servlet/opac?punumber=7193754",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-22; computer arithmetic units; correctness
                 proofs; cryptography; domain specific designs; error
                 analysis; exascale computing; floating point
                 arithmetic; floating-point error analysis; formal
                 verification; function approximation; modular
                 arithmetic; theorem proving; verification",
}

@Proceedings{Burgess:2017:ISC,
  editor =       "Neil Burgess and Javier Bruguera and Florent de
                 Dinechin",
  booktitle =    "{24th IEEE Symposium on Computer Arithmetic (ARITH
                 24), London, UK, 24--26 July 2017}",
  title =        "{2017 IEEE 24th Symposium on Computer Arithmetic
                 (ARITH 24), London, UK, 24--26 July 2017}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  pages =        "xii + 198",
  year =         "2017",
  ISBN =         "1-5386-1966-0 (print), 1-5386-1965-2, 1-5386-1964-4",
  ISBN-13 =      "978-1-5386-1966-7 (print), 978-1-5386-1965-0,
                 978-1-5386-1964-3",
  ISSN =         "1063-6889",
  LCCN =         "QA76.9.C62 S95 2017",
  bibdate =      "Fri Nov 17 10:14:11 2017",
  bibsource =    "https://www.math.utah.edu/pub/bibnet/authors/h/higham-nicholas-john.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib;
                 https://www.math.utah.edu/pub/tex/bib/gnu.bib",
  URL =          "https://ieeexplore.ieee.org/servlet/opac?punumber=8019911",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-24; computer arithmetic units; correctness
                 proofs; cryptography; domain specific designs; error
                 analysis; exascale computing; floating point
                 arithmetic; floating-point error analysis; formal
                 verification; function approximation; modular
                 arithmetic; theorem proving; verification",
}

@Proceedings{Cornea:2020:ISC,
  editor =       "Marius Cornea and Weiqiang Liu and Arnaud Tisserand",
  booktitle =    "{2020 27th IEEE Symposium on Computer Arithmetic:
                 ARITH 2020: proceedings: Portland, Oregon, USA, 7--10
                 June 2020}",
  title =        "{2020 27th IEEE Symposium on Computer Arithmetic:
                 ARITH 2020: proceedings: Portland, Oregon, USA, 7--10
                 June 2020}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  year =         "2020",
  DOI =          "https://doi.org/10.1109/ARITH48897.2020",
  ISBN =         "1-72817-120-2, 1-72817-121-0",
  ISBN-13 =      "978-1-72817-120-3, 978-1-72817-121-0",
  LCCN =         "????",
  bibdate =      "Wed Jul 7 06:23:45 MDT 2021",
  bibsource =    "fsz3950.oclc.org:210/WorldCat;
                 https://www.math.utah.edu/pub/tex/bib/benfords-law.bib;
                 https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/elefunt.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://ieeexplore.ieee.org/servlet/opac?punumber=9146973",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH-27",
}

@Proceedings{IEEE:2024:PIS,
  editor =       "{IEEE}",
  booktitle =    "Proceedings: {2024 IEEE 31st Symposium on Computer
                 Arithmetic: ARITH 2024, 10--12 June 2024, M{\'a}laga,
                 Spain}",
  title =        "Proceedings: {2024 IEEE 31st Symposium on Computer
                 Arithmetic: ARITH 2024, 10--12 June 2024, M{\'a}laga,
                 Spain}",
  publisher =    pub-IEEE,
  address =      pub-IEEE:adr,
  bookpages =    "147",
  pages =        "147",
  year =         "2024",
  DOI =          "https://doi.org/10.1109/ARITH61463.2024",
  ISBN-13 =      "979-83-503-8432-1, 979-83-503-8433-8",
  ISSN =         "2576-2265 (electronic), 1063-6889 (print-on-demand)",
  LCCN =         "QA76.9.C62 .I578 2024",
  bibdate =      "Thu Nov 13 11:32:36 2025",
  bibsource =    "https://www.math.utah.edu/pub/tex/bib/cordic.bib;
                 https://www.math.utah.edu/pub/tex/bib/fparith.bib",
  URL =          "https://ieeexplore.ieee.org/xpl/conhome/10579097/proceeding",
  acknowledgement = ack-nhfb,
  keywords =     "ARITH 2024; ARITH-31",
}